Skip to content
This repository has been archived by the owner on Aug 13, 2023. It is now read-only.

Commit

Permalink
cleanup and build
Browse files Browse the repository at this point in the history
  • Loading branch information
vr6syncro committed Jun 4, 2023
1 parent e56d5f0 commit 3a4c9b0
Show file tree
Hide file tree
Showing 16 changed files with 1 addition and 42,948 deletions.
8 changes: 0 additions & 8 deletions .theia/launch.json

This file was deleted.

4 changes: 0 additions & 4 deletions .vscode/arduino.json

This file was deleted.

24 changes: 0 additions & 24 deletions .vscode/c_cpp_properties.json

This file was deleted.

19 changes: 0 additions & 19 deletions .vscode/settings.json

This file was deleted.

Binary file not shown.
42,879 changes: 0 additions & 42,879 deletions build/esp8266.esp8266.d1_mini/BambulabDirectConnectionLedstrip.ino.map

This file was deleted.

Binary file removed build/firmware/blledcontroller_v095.bin
Binary file not shown.
Binary file removed build/firmware/blledcontroller_v100.bin
Binary file not shown.
Binary file removed build/firmware/blledcontroller_v101.bin
Binary file not shown.
Binary file removed build/firmware/blledcontroller_v102.bin
Binary file not shown.
Binary file removed build/firmware/blledcontroller_v103.bin
Binary file not shown.
Binary file removed build/firmware/blledcontroller_v104.bin
Binary file not shown.
Binary file removed build/firmware/blledcontroller_v105.bin
Binary file not shown.
13 changes: 0 additions & 13 deletions build/manifest.json

This file was deleted.

Binary file added compiled_bin/BBL-Neopixel-testing-d1_mini.bin
Binary file not shown.
2 changes: 1 addition & 1 deletion config.h
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@
#include <Arduino.h>

// Extra LED Debug Output to Console
#define Debug_LED_Console true
#define Debug_LED_Console false

// LED overall Brightness (0-255)
#define LED_Brightness 48
Expand Down

0 comments on commit 3a4c9b0

Please sign in to comment.