Skip to content

Commit

Permalink
Actually set the LED_CY pin as output.
Browse files Browse the repository at this point in the history
  • Loading branch information
whitequark committed May 1, 2018
1 parent 77dde5b commit 7dfcb30
Show file tree
Hide file tree
Showing 2 changed files with 3 additions and 3 deletions.
4 changes: 2 additions & 2 deletions firmware/leds.c
Expand Up @@ -3,8 +3,8 @@

void leds_init() {
IOD |= (1<<PIND_LED_CY);
IOD &= ~((1<<PIND_LED_FPGA)|(1<<PIND_LED_ACT)|(1<<PIND_LED_ERR));
OED |= (1<<PIND_LED_FPGA)|(1<<PIND_LED_ACT)|(1<<PIND_LED_ERR);
IOD &= ~( (1<<PIND_LED_FPGA)|(1<<PIND_LED_ACT)|(1<<PIND_LED_ERR));
OED |= (1<<PIND_LED_CY)|(1<<PIND_LED_FPGA)|(1<<PIND_LED_ACT)|(1<<PIND_LED_ERR);
}

void led_fpga_set(bool on) {
Expand Down
2 changes: 1 addition & 1 deletion vendor/libfx2

0 comments on commit 7dfcb30

Please sign in to comment.