Skip to content

yenidnya/Verilog-FPGA

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

2 Commits
 
 
 
 
 
 

Repository files navigation

Verilog-FPGA

Verilog ve C ile FPGA kodlama calismalarim

How to run

  • You need to download Vivado
  • Open project (.xrp file)
  • Generate bitstream
  • Connect BASYS3
  • Program the FPGA from Hardware Manager tool.

About

Verilog ve C ile FPGA kodlama calismalarim

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published