Skip to content

Commit

Permalink
added reference genome index on germline indel calling (which is requ…
Browse files Browse the repository at this point in the history
…ired when only indel calling has been activated & remove -C from BWA mem call (on DNAseq data) which causes issues on Illumina identifier
  • Loading branch information
riasc committed Jun 21, 2024
1 parent 44749dd commit 47410dd
Show file tree
Hide file tree
Showing 3 changed files with 11 additions and 5 deletions.
8 changes: 8 additions & 0 deletions CHANGELOG.md
Original file line number Diff line number Diff line change
Expand Up @@ -16,6 +16,14 @@ and this project adheres to [Semantic Versioning](https://semver.org/spec/v2.0.0
- Prioritization of neoantigens is now done separately for each variant type (speeds up the process)
- NMD information (e.g., escape rule,...) is now also calculated for all variants

## [0.2.6] - 2024-06-20

### Fix

- Added routines to catch errors when rnaseq data is not provided but exitron/alternative splicing calling is activated
- Added reference genome index as input to germline indel calling (necessary when only indel calling is activated)
- removed -C from BWA mem call (on DNAseq data) to avoid error on Illumina identifiers

## [0.2.5] - 2024-06-19

### Fix
Expand Down
7 changes: 3 additions & 4 deletions workflow/rules/align.smk
Original file line number Diff line number Diff line change
Expand Up @@ -231,10 +231,9 @@ if config['data']['dnaseq_filetype'] in ['.fq','.fastq']:
threads: config['threads']
shell:
"""
bwa mem -t{threads} -C resources/refs/bwa/genome {input.reads} \
| samtools addreplacerg -r ID:{wildcards.group} -r SM:{wildcards.sample} \
-r LB:{wildcards.sample} -r PL:ILLUMINA -r PU:{wildcards.group} - - \
| samtools sort -@ 6 -n -m1g - -o {output} > {log} 2>&1
bwa mem -t{threads} resources/refs/bwa/genome \
-R '@RG\\tID:{wildcards.group}\\tSM:{wildcards.sample}\\tLB:{wildcards.sample}\\tPL:ILLUMINA' \
{input.reads} | samtools sort -@ 6 -n -m1g - -o {output} > {log} 2>&1
"""

rule dnaseq_postproc:
Expand Down
1 change: 0 additions & 1 deletion workflow/rules/common.smk
Original file line number Diff line number Diff line change
Expand Up @@ -124,7 +124,6 @@ def all_identical(l):

# load up the config
config['data'] = data_structure(config['data'])
print(config)

########### PREPROCESSING ##########
def get_raw_reads(wildcards):
Expand Down

0 comments on commit 47410dd

Please sign in to comment.