Skip to content

A basic introduction of Verilog/VHDL design using Myhdl based on Python.

Notifications You must be signed in to change notification settings

zshicode/A-Verilog-VHDL-design-using-python

Repository files navigation

A-Verilog-VHDL-design-using-python

MyHDL transforms Python to hardware description languages, Verilog or VHDL, while providing convinient platform to test the design functions. This repository takes a design including two examples, a delay flip-flop (DFF) as a sequential logic circuit, and an adder as a combinational logic circuit.

About

A basic introduction of Verilog/VHDL design using Myhdl based on Python.

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published