Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Update to HLT menu 3p2 with muon fix (103X) #23949

Merged
merged 7 commits into from Jul 25, 2018
Merged
Show file tree
Hide file tree
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Jump to
Jump to file
Failed to load files.
Diff view
Diff view
8 changes: 4 additions & 4 deletions Configuration/HLT/python/autoCondHLT.py
Expand Up @@ -19,7 +19,7 @@
'Fake1' : ( ','.join( [ 'L1Menu_Collisions2015_25nsStage1_v5' , l1MenuRecord,connectionString, l1MenuLabel, "2015-10-26 12:00:00.000"] ), ),
'Fake2' : ( ','.join( [ 'L1Menu_Collisions2016_v9_m2_xml' ,l1tMenuRecord,connectionString,l1tMenuLabel, "2016-10-06 19:36:53.000"] ), ),
'FULL' : ( ','.join( [ 'L1Menu_Collisions2018_v2_0_0-d1_xml' ,l1tMenuRecord,connectionString,l1tMenuLabel, "2018-06-20 15:05:19.000"] ), ),
'2018v22' : ( ','.join( [ 'L1Menu_Collisions2018_v1_0_0-d1_xml' ,l1tMenuRecord,connectionString,l1tMenuLabel, "2018-04-16 09:58:43.000"] ), ),
'2018v32' : ( ','.join( [ 'L1Menu_Collisions2018_v1_0_0-d1_xml' ,l1tMenuRecord,connectionString,l1tMenuLabel, "2018-04-16 09:58:43.000"] ), ),
'GRun' : ( ','.join( [ 'L1Menu_Collisions2018_v2_0_0-d1_xml' ,l1tMenuRecord,connectionString,l1tMenuLabel, "2018-06-20 15:05:19.000"] ), ),
'HIon' : ( ','.join( [ 'L1Menu_CollisionsHeavyIons2015_v5_uGT_xml' ,l1tMenuRecord,connectionString,l1tMenuLabel, "2016-03-04 15:00:00.000"] ), ),
'PIon' : ( ','.join( [ 'L1Menu_HeavyIons2016_v3_m2_xml' ,l1tMenuRecord,connectionString,l1tMenuLabel, "2016-11-22 11:11:00.000"] ), ),
Expand All @@ -35,7 +35,7 @@
'run2_mc_Fake1' : ('run2_mc_l1stage1' ,l1Menus['Fake1']),
'run2_mc_Fake2' : ('run2_mc' ,l1Menus['Fake2']),
'run2_mc_FULL' : ('phase1_2018_realistic',l1Menus['FULL']),
'run2_mc_2018v22' : ('phase1_2018_realistic',l1Menus['2018v22']),
'run2_mc_2018v32' : ('phase1_2018_realistic',l1Menus['2018v32']),
'run2_mc_GRun' : ('phase1_2018_realistic',l1Menus['GRun']),
'run2_mc_HIon' : ('run2_mc_hi' ,l1Menus['HIon']),
'run2_mc_PIon' : ('phase1_2018_realistic',l1Menus['PIon']),
Expand All @@ -46,7 +46,7 @@
'run2_hlt_Fake1' : ('run2_hlt_relval' ,l1Menus['Fake1']),
'run2_hlt_Fake2' : ('run2_hlt_relval' ,l1Menus['Fake2']),
'run2_hlt_FULL' : ('run2_hlt_relval' ,l1Menus['FULL']),
'run2_hlt_2018v22' : ('run2_hlt_relval' ,l1Menus['2018v22']),
'run2_hlt_2018v32' : ('run2_hlt_relval' ,l1Menus['2018v32']),
'run2_hlt_GRun' : ('run2_hlt_relval' ,l1Menus['GRun']),
'run2_hlt_HIon' : ('run2_hlt_hi' ,l1Menus['HIon']),
'run2_hlt_PIon' : ('run2_hlt_relval' ,l1Menus['PIon']),
Expand All @@ -57,7 +57,7 @@
'run2_data_Fake1' : ('run2_data_relval' ,l1Menus['Fake1']),
'run2_data_Fake2' : ('run2_data_relval' ,l1Menus['Fake2']),
'run2_data_FULL' : ('run2_data_promptlike' ,l1Menus['FULL']),
'run2_data_2018v22' : ('run2_data_promptlike' ,l1Menus['2018v22']),
'run2_data_2018v32' : ('run2_data_promptlike' ,l1Menus['2018v32']),
'run2_data_GRun' : ('run2_data_promptlike' ,l1Menus['GRun']),
'run2_data_HIon' : ('run2_data_relval' ,l1Menus['HIon']),
'run2_data_PIon' : ('run2_data_promptlike' ,l1Menus['PIon']),
Expand Down
2 changes: 1 addition & 1 deletion Configuration/HLT/python/autoHLT.py
Expand Up @@ -9,6 +9,6 @@
'relval25ns' : 'Fake1',
'relval2016' : 'Fake2',
'relval2017' : 'Fake2',
'relval2018' : '2018v22',
'relval2018' : '2018v32',
'test' : 'GRun',
}

Large diffs are not rendered by default.

474 changes: 448 additions & 26 deletions HLTrigger/Configuration/python/HLT_FULL_cff.py

Large diffs are not rendered by default.

446 changes: 434 additions & 12 deletions HLTrigger/Configuration/python/HLT_GRun_cff.py

Large diffs are not rendered by default.

4 changes: 2 additions & 2 deletions HLTrigger/Configuration/python/HLT_HIon_cff.py
@@ -1,13 +1,13 @@
# hltGetConfiguration --cff --data /dev/CMSSW_10_1_0/HIon --type HIon

# /dev/CMSSW_10_1_0/HIon/V56 (CMSSW_10_1_7)
# /dev/CMSSW_10_1_0/HIon/V60 (CMSSW_10_1_7)

import FWCore.ParameterSet.Config as cms

fragment = cms.ProcessFragment( "HLT" )

fragment.HLTConfigVersion = cms.PSet(
tableName = cms.string('/dev/CMSSW_10_1_0/HIon/V56')
tableName = cms.string('/dev/CMSSW_10_1_0/HIon/V60')
)

fragment.transferSystem = cms.PSet(
Expand Down
4 changes: 2 additions & 2 deletions HLTrigger/Configuration/python/HLT_PIon_cff.py
@@ -1,13 +1,13 @@
# hltGetConfiguration --cff --data /dev/CMSSW_10_1_0/PIon --type PIon

# /dev/CMSSW_10_1_0/PIon/V56 (CMSSW_10_1_7)
# /dev/CMSSW_10_1_0/PIon/V60 (CMSSW_10_1_7)

import FWCore.ParameterSet.Config as cms

fragment = cms.ProcessFragment( "HLT" )

fragment.HLTConfigVersion = cms.PSet(
tableName = cms.string('/dev/CMSSW_10_1_0/PIon/V56')
tableName = cms.string('/dev/CMSSW_10_1_0/PIon/V60')
)

fragment.transferSystem = cms.PSet(
Expand Down
6 changes: 3 additions & 3 deletions HLTrigger/Configuration/python/HLT_PRef_cff.py
@@ -1,13 +1,13 @@
# hltGetConfiguration --cff --data /dev/CMSSW_10_1_0/PRef --type PRef

# /dev/CMSSW_10_1_0/PRef/V56 (CMSSW_10_1_7)
# /dev/CMSSW_10_1_0/PRef/V60 (CMSSW_10_1_7)

import FWCore.ParameterSet.Config as cms

fragment = cms.ProcessFragment( "HLT" )

fragment.HLTConfigVersion = cms.PSet(
tableName = cms.string('/dev/CMSSW_10_1_0/PRef/V56')
tableName = cms.string('/dev/CMSSW_10_1_0/PRef/V60')
)

fragment.transferSystem = cms.PSet(
Expand Down Expand Up @@ -7445,7 +7445,7 @@
fragment.hltIterL3Muons = cms.EDFilter( "MuonSelector",
filter = cms.bool( False ),
src = cms.InputTag( "hltIterL3MuonsNoID" ),
cut = cms.string( "isTrackerMuon && innerTrack.hitPattern.trackerLayersWithMeasurement > 5 && innerTrack.hitPattern.pixelLayersWithMeasurement > 0 && (!isGlobalMuon || globalTrack.normalizedChi2 < 20 ) && (expectedNnumberOfMatchedStations < 2 || numberOfMatchedStations > 1 || pt < 8)" )
cut = cms.string( "isTrackerMuon && innerTrack.hitPattern.trackerLayersWithMeasurement > 5 && innerTrack.hitPattern.pixelLayersWithMeasurement > 0 && (expectedNnumberOfMatchedStations < 2 || numberOfMatchedStations > 1 || pt < 8)" )
)
fragment.hltL3MuonsIterL3Links = cms.EDProducer( "MuonLinksProducer",
inputCollection = cms.InputTag( "hltIterL3Muons" )
Expand Down
9 changes: 9 additions & 0 deletions HLTrigger/Configuration/python/HLTrigger_Datasets_GRun_cff.py
Expand Up @@ -421,14 +421,23 @@
streamPhysicsHadronsTaus_datasetBTagMu_selector.l1tResults = cms.InputTag('')
streamPhysicsHadronsTaus_datasetBTagMu_selector.throw = cms.bool(False)
streamPhysicsHadronsTaus_datasetBTagMu_selector.triggerConditions = cms.vstring(
'HLT_BTagMu_AK4DiJet110_Mu5_noalgo_v13',
'HLT_BTagMu_AK4DiJet110_Mu5_v13',
'HLT_BTagMu_AK4DiJet170_Mu5_noalgo_v12',
'HLT_BTagMu_AK4DiJet170_Mu5_v12',
'HLT_BTagMu_AK4DiJet20_Mu5_noalgo_v13',
'HLT_BTagMu_AK4DiJet20_Mu5_v13',
'HLT_BTagMu_AK4DiJet40_Mu5_noalgo_v13',
'HLT_BTagMu_AK4DiJet40_Mu5_v13',
'HLT_BTagMu_AK4DiJet70_Mu5_noalgo_v13',
'HLT_BTagMu_AK4DiJet70_Mu5_v13',
'HLT_BTagMu_AK4Jet300_Mu5_noalgo_v12',
'HLT_BTagMu_AK4Jet300_Mu5_v12',
'HLT_BTagMu_AK8DiJet170_Mu5_noalgo_v9',
'HLT_BTagMu_AK8DiJet170_Mu5_v9',
'HLT_BTagMu_AK8Jet170_DoubleMu5_noalgo_v2',
'HLT_BTagMu_AK8Jet170_DoubleMu5_v2',
'HLT_BTagMu_AK8Jet300_Mu5_noalgo_v12',
'HLT_BTagMu_AK8Jet300_Mu5_v12'
)

Expand Down
2 changes: 1 addition & 1 deletion HLTrigger/Configuration/python/Tools/options.py
Expand Up @@ -5,7 +5,7 @@
'Fake2': 'auto:run2_mc_Fake2',
'FULL' : 'auto:run2_mc_FULL',
'GRun' : 'auto:run2_mc_GRun', # used as default
'2018v22' : 'auto:run2_mc_2018v22',
'2018v32' : 'auto:run2_mc_2018v32',
'HIon' : 'auto:run2_mc_HIon',
'PIon' : 'auto:run2_mc_PIon',
'PRef' : 'auto:run2_mc_PRef',
Expand Down
10 changes: 10 additions & 0 deletions HLTrigger/Configuration/tables/GRun.txt
Expand Up @@ -679,6 +679,16 @@ HLT_DoubleL2Mu25NoVtx_2Cha_NoL2Matched_v* # CMSHLT-1907
HLT_DoubleTrkMu_16_6_NoFiltersNoVtx_v* # CMSHLT-1919
HLT_Ele28_WPTight_Gsf_v* # CMSHLT-1921
HLT_Ele30_WPTight_Gsf_v* # CMSHLT-1921
HLT_Mu3_L1SingleMu5orSingleMu7_v* # CMSHLT-1924
HLT_BTagMu_AK4DiJet20_Mu5_noalgo_v* # CMSHLT-1941
HLT_BTagMu_AK4DiJet40_Mu5_noalgo_v* # CMSHLT-1941
HLT_BTagMu_AK4DiJet70_Mu5_noalgo_v* # CMSHLT-1941
HLT_BTagMu_AK4DiJet110_Mu5_noalgo_v* # CMSHLT-1941
HLT_BTagMu_AK4DiJet170_Mu5_noalgo_v* # CMSHLT-1941
HLT_BTagMu_AK4Jet300_Mu5_noalgo_v* # CMSHLT-1941
HLT_BTagMu_AK8DiJet170_Mu5_noalgo_v* # CMSHLT-1941
HLT_BTagMu_AK8Jet170_DoubleMu5_noalgo_v* # CMSHLT-1941
HLT_BTagMu_AK8Jet300_Mu5_noalgo_v* # CMSHLT-1941

## EndPath ##

Expand Down
19 changes: 10 additions & 9 deletions HLTrigger/Configuration/tables/online_grun.txt
Expand Up @@ -19,12 +19,6 @@ HLT_AK8PFJet500_v* # CMSHLT-1233
HLT_AK8PFJet550_v* # CMSHLT-1233
HLT_AK8PFJet60_v* # CMSHLT-1233
HLT_AK8PFJet80_v* # CMSHLT-1233
HLT_BTagMu_AK4DiJet110_Mu5_v* # CMSHLT-1233
HLT_BTagMu_AK4DiJet170_Mu5_v* # CMSHLT-1233
HLT_BTagMu_AK4DiJet20_Mu5_v* # CMSHLT-1233
HLT_BTagMu_AK4DiJet40_Mu5_v* # CMSHLT-1233
HLT_BTagMu_AK4DiJet70_Mu5_v* # CMSHLT-1233
HLT_BTagMu_AK4Jet300_Mu5_v* # CMSHLT-1233
HLT_PFJet140_v* # CMSHLT-1233
HLT_PFJet200_v* # CMSHLT-1233
HLT_PFJet260_v* # CMSHLT-1233
Expand All @@ -36,8 +30,6 @@ HLT_PFJet500_v* # CMSHLT-1233
HLT_PFJet550_v* # CMSHLT-1233
HLT_PFJet60_v* # CMSHLT-1233
HLT_PFJet80_v* # CMSHLT-1233
HLT_BTagMu_AK8DiJet170_Mu5_v* # CMSHLT-1234
HLT_BTagMu_AK8Jet300_Mu5_v* # CMSHLT-1234
DST_DoubleMu3_noVtx_CaloScouting_Monitoring_v* # CMSHLT-1243, CMSHLT-1587
DST_DoubleMu3_noVtx_CaloScouting_v* # CMSHLT-1243, CMSHLT-1587, CMSHLT-1589
HLT_TrkMu12_DoubleTrkMu5NoFiltersNoVtx_v* # CMSHLT-1244
Expand Down Expand Up @@ -554,7 +546,6 @@ HLT_L2Mu23NoVtx_2Cha_v* # CMSHLT-1727
HLT_L2Mu23NoVtx_2Cha_CosmicSeed_v* # CMSHLT-1727
HLT_DoubleL2Mu30NoVtx_2Cha_CosmicSeed_Eta2p4_v* # CMSHLT-1727
HLT_DoubleL2Mu30NoVtx_2Cha_Eta2p4_v* # CMSHLT-1727
HLT_BTagMu_AK8Jet170_DoubleMu5_v* # CMSHLT-1733
HLT_Mu3er1p5_PFJet100er2p5_PFMET100_PFMHT100_IDTight_v* # CMSHLT-1781
HLT_Mu3er1p5_PFJet100er2p5_PFMET70_PFMHT70_IDTight_v* # CMSHLT-1781
HLT_Mu3er1p5_PFJet100er2p5_PFMET80_PFMHT80_IDTight_v* # CMSHLT-1781
Expand Down Expand Up @@ -678,6 +669,16 @@ HLT_DoubleL2Mu25NoVtx_2Cha_NoL2Matched_v* # CMSHLT-1907
HLT_DoubleTrkMu_16_6_NoFiltersNoVtx_v* # CMSHLT-1919
HLT_Ele28_WPTight_Gsf_v* # CMSHLT-1921
HLT_Ele30_WPTight_Gsf_v* # CMSHLT-1921
HLT_Mu3_L1SingleMu5orSingleMu7_v* # CMSHLT-1924
HLT_BTagMu_AK4DiJet20_Mu5_noalgo_v* # CMSHLT-1941
HLT_BTagMu_AK4DiJet40_Mu5_noalgo_v* # CMSHLT-1941
HLT_BTagMu_AK4DiJet70_Mu5_noalgo_v* # CMSHLT-1941
HLT_BTagMu_AK4DiJet110_Mu5_noalgo_v* # CMSHLT-1941
HLT_BTagMu_AK4DiJet170_Mu5_noalgo_v* # CMSHLT-1941
HLT_BTagMu_AK4Jet300_Mu5_noalgo_v* # CMSHLT-1941
HLT_BTagMu_AK8DiJet170_Mu5_noalgo_v* # CMSHLT-1941
HLT_BTagMu_AK8Jet170_DoubleMu5_noalgo_v* # CMSHLT-1941
HLT_BTagMu_AK8Jet300_Mu5_noalgo_v* # CMSHLT-1941

## EndPath ##

Expand Down