Skip to content

Commit

Permalink
Merge branch 'development' of https://github.com/AMReX-Codes/amrex in…
Browse files Browse the repository at this point in the history
…to solve_bicgstab-use-fewer-MFs
  • Loading branch information
eebasso committed Nov 17, 2023
2 parents ab6b211 + 175b99d commit 71f5a1c
Showing 1 changed file with 1 addition and 0 deletions.
1 change: 1 addition & 0 deletions Src/LinearSolvers/MLMG/AMReX_MLCGSolver.H
Original file line number Diff line number Diff line change
Expand Up @@ -164,6 +164,7 @@ MLCGSolverT<MF>::solve_bicgstab (MF& sol, const MF& rhs, RT eps_rel, RT eps_abs)
MF::Saxpy(sol, alpha, p, 0, 0, ncomp, nghost); // sol += alpha * p
MF::Saxpy(r, -alpha, v, 0, 0, ncomp, nghost); // r += -alpha * v

rnorm = norm_inf(r);
rnorm = norm_inf(r);

if ( verbose > 2 && ParallelDescriptor::IOProcessor() )
Expand Down

0 comments on commit 71f5a1c

Please sign in to comment.