Skip to content

ArsenioDev/EasyFPGAToolsInstall

 
 

Repository files navigation

OpenFPGATools

An easier way to quickly build, install, and update latest builds of the FOSS FPGA flows

Open Source FPGA toolkit built around IceStorm and Yosys by Claire Wolf. Version 2 now includes ECP5 support via Prjtrellis and Gowin via Apicula.

Install locally (brew || sudo apt-get)

  • For Ice40 use:
./icetools.sh
  • For ECP5 use:
./ecp5tools.sh
  • For Gowin use:
./gowintools.sh
  • For all architectures use:
./fpgatools.sh

Toolchain

Component Function License
Yosys Synthesis ISC
Icarus Verilog Simulation GPL-2.0
Verilator Simulation LGPL-3.0
Arachne-pnr Place & Route MIT
IceStorm Bitstream ISC
NextPNR Place & Route ISC
Prjtrellis Bitstream MIT
Apicula Bitstream MIT
OpenFPGALoader Binary Loading AGPL-3.0

Supported FPGAs

  • Lattice iCE40 (all variants)
  • Lattice ECP5 (all vairants)
  • Gowin GW1N-1 / GW1NR-9

Issues

Gowin support is experimental via Project Apicula This WILL work on WSL but you will need to call the Iceprog as an exe due to no USB access (yet)

OS X

To unload the default and vendor drivers in case iceprog refuses to connect:

sudo kextunload -v -b com.apple.driver.AppleUSBFTDI
sudo kextunload -v -b com.FTDI.driver.FTDIUSBSerialDriver

Reload with

sudo kextload -v -b com.apple.driver.AppleUSBFTDI
sudo kextload -v -b com.FTDI.driver.FTDIUSBSerialDriver

About

An EASY way to install the various Open FPGA Toolchain flavors in one command

Topics

Resources

License

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published

Languages

  • Shell 100.0%