Skip to content

BrianHGinc/BrianHGinc

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

2 Commits
 
 

Repository files navigation

  • 👋 Hi, I’m @BrianHGinc
  • 👀 I’m interested in FPGA System Verilog HDL source code and microcontroler coding.
  • 🌱 I’m currently helping and offering free source code with embeded documentation.
  • 💞️ I’m looking to help those integrate my source code into their projects.
  • 📫 How to reach me here on github or at https://www.eevblog.com/forum/index.php as BrianHG in the FPGA section of the forum.

About

Config files for my GitHub profile.

Topics

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published