Skip to content

Commit

Permalink
Build Silver by default
Browse files Browse the repository at this point in the history
  • Loading branch information
AndreasLoow committed Feb 18, 2019
1 parent f7ecfcc commit 1c2a4f4
Show file tree
Hide file tree
Showing 2 changed files with 3 additions and 3 deletions.
2 changes: 1 addition & 1 deletion translator/Holmakefile
@@ -1,2 +1,2 @@
INCLUDES = .. \
../example
../ag32
4 changes: 2 additions & 2 deletions translator/verilogTranslatorConfigLib.sml
Expand Up @@ -7,15 +7,15 @@ open verilogTranslatorCoreLib;

(** Config **)

(*
open ag32MachineTheory;
val state_ty = ``:state_circuit``;
val fext_ty = ``:ext``;
*)

(*
open circuitExampleTheory;
val state_ty = ``:state``;
val fext_ty = ``:ext_state``;
*)

(*
open regexpExampleTheory;
Expand Down

0 comments on commit 1c2a4f4

Please sign in to comment.