Skip to content

ChanonTonmai/ADS1115-VHDL-with-AXI-DMA

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

13 Commits
 
 
 
 
 
 
 
 
 
 

Repository files navigation

ADS1115-I2C-with-AXI-DMA

This project is about send the data from ADS1115 from PL section into PS section through AXI-DMA. Firstly, we create the I2C configuration for ADS1115 and then create AXI configuration that config the register of it. Finally, we pack the data to the master axi streaming interface and send it to memory through AXI-DMA.

The example block design for using this core

Capture

src

There are 3 top modules here: i2c_top. axi_for_i2c and data_to_M_AXIS

  • i2c_top.vhd is the module that involve i2c_master.vhd and addr_asm.vhd together. The role of this is for create i2c interface and send the data to BRAM and also tick the M_AXIS to initiate communication.
  • axi_for_i2c.vhd is the module that config the pga (programmable gain amplifier) and dr (data rate) of the ADS1115. Moreover it use to send the start command. Note that the start command for this project is the rising edge which means if you want to start you need to turn off before turn on.
  • data_to_M_AXIS is the module that send the address to BRAM for receive the data and send the data in AXI-streaming interface.

The i2c_master.vhd is reference from digikey.

sdk

The C code that use to start the AXI DMA and also communication with the axi_for_i2c.vhd You can see that in the axi_for_i2c.vhd is provide for config the ADS1115 pga and dr. The pga and dr is stand for programmable gain amplifiers and data rate. The data rate is known as sampling rate which config for appropriate signal. To setting pga and dr, you need to write the AXI to the axi_for_i2c_bass_address + 0x08 and set bit 5 downto 3 is for pga and bit 8 downto 6 is for dr.

bd

block design which is generate from "export block design" in vivado. Note that we did not test this file.

About

ADS1115 Communication with AXI interface example with zybo board

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published