Skip to content

Commit

Permalink
Merge branch 'maint' into tmw_stable
Browse files Browse the repository at this point in the history
  • Loading branch information
guruofquality committed Jul 19, 2012
2 parents d09365f + eb08330 commit 61189d4
Show file tree
Hide file tree
Showing 7 changed files with 15 additions and 46 deletions.
39 changes: 1 addition & 38 deletions fpga/usrp2/gpmc/gpmc.v
Original file line number Diff line number Diff line change
Expand Up @@ -49,18 +49,6 @@ module gpmc
wire [15:0] EM_D_fifo;
wire [15:0] EM_D_wb;

// these registers are used for the GPMC-to-FIFO interface
reg [15:0] em_d_reg;
reg [ADDR_WIDTH:1] em_a_reg;
reg [1:0] em_nbe_reg;
reg em_wait0_reg;
reg em_ncs4_reg;
reg em_ncs6_reg;
reg em_nwe_reg;
reg em_noe_reg;



assign EM_D = ~EM_output_enable ? 16'bz : ~EM_NCS4 ? EM_D_fifo : EM_D_wb;

// CS4 is RAM_2PORT for DATA PATH (high-speed data)
Expand All @@ -75,34 +63,9 @@ module gpmc
wire [35:0] tx_data, txb_data;
wire tx_src_rdy, tx_dst_rdy;
wire txb_src_rdy, txb_dst_rdy;

// Register signals to prevent Sequence errors (S-errors) from occuring
always @(negedge EM_CLK or posedge arst) begin
if (arst) begin

em_d_reg <= 0;
em_a_reg <= 0;
em_nbe_reg <= 0;
em_wait0_reg <= 0;
em_ncs4_reg <= 0;
em_ncs6_reg <= 0;
em_nwe_reg <= 0;
em_noe_reg <= 0;
end
else begin
em_d_reg <= EM_D;
em_a_reg <= EM_A;
em_nbe_reg <= EM_NBE;
em_wait0_reg <= EM_WAIT0;
em_ncs4_reg <= EM_NCS4;
em_ncs6_reg <= EM_NCS6;
em_nwe_reg <= EM_NWE;
em_noe_reg <= EM_NOE;
end
end

gpmc_to_fifo #(.ADDR_WIDTH(ADDR_WIDTH)) gpmc_to_fifo
(.EM_D(em_d_reg), .EM_A(em_a_reg), .EM_CLK(EM_CLK), .EM_WE(~em_ncs4_reg & ~em_nwe_reg),
(.EM_D(EM_D), .EM_A(EM_A), .EM_CLK(EM_CLK), .EM_WE(~EM_NCS4 & ~EM_NWE),
.clk(fifo_clk), .reset(fifo_rst), .clear(clear_tx), .arst(fifo_rst | clear_tx | arst),
.data_o(tx18_data), .src_rdy_o(tx18_src_rdy), .dst_rdy_i(tx18_dst_rdy),
.have_space(tx_have_space));
Expand Down
8 changes: 4 additions & 4 deletions fpga/usrp2/gpmc/gpmc_to_fifo.v
Original file line number Diff line number Diff line change
Expand Up @@ -70,9 +70,9 @@ module gpmc_to_fifo
case(gpmc_state)

GPMC_STATE_START: begin
if (EM_A == 0) begin
if (EM_A == 2) begin
gpmc_state <= GPMC_STATE_FILL;
last_addr <= {EM_D[ADDR_WIDTH-2:0], 1'b0} - 1'b1;
last_addr <= {EM_D[ADDR_WIDTH-2:0], 1'b0} - 1'b1 + 2;
next_gpmc_ptr <= gpmc_ptr + 1;
end
end
Expand Down Expand Up @@ -116,14 +116,14 @@ module gpmc_to_fifo
if (reset | clear) begin
fifo_state <= FIFO_STATE_CLAIM;
fifo_ptr <= 0;
counter <= 0;
counter <= 2;
end
else begin
case(fifo_state)

FIFO_STATE_CLAIM: begin
if (bram_available_to_empty) fifo_state <= FIFO_STATE_EMPTY;
counter <= 0;
counter <= 2;
end

FIFO_STATE_EMPTY: begin
Expand Down
2 changes: 1 addition & 1 deletion fpga/usrp2/top/E1x0/u1e_core.v
Original file line number Diff line number Diff line change
Expand Up @@ -454,7 +454,7 @@ module u1e_core
// Readback mux 32 -- Slave #7

//compatibility number -> increment when the fpga has been sufficiently altered
localparam compat_num = {16'd9, 16'd2}; //major, minor
localparam compat_num = {16'd9, 16'd3}; //major, minor

wire [31:0] reg_test32;

Expand Down
3 changes: 3 additions & 0 deletions host/CMakeLists.txt
Original file line number Diff line number Diff line change
Expand Up @@ -70,6 +70,9 @@ IF(NOT CMAKE_BUILD_TYPE)
ENDIF(NOT CMAKE_BUILD_TYPE)
SET(CMAKE_BUILD_TYPE "${CMAKE_BUILD_TYPE}" CACHE STRING "")

#force UHD_RELEASE_MODE to be a string for cmake-gui
SET(UHD_RELEASE_MODE CACHE STRING "${UHD_RELEASE_MODE}" FORCE)

IF(CMAKE_COMPILER_IS_GNUCXX)
ADD_DEFINITIONS(-Wall)
ADD_DEFINITIONS(-Wextra)
Expand Down
2 changes: 1 addition & 1 deletion host/include/uhd/version.hpp
Original file line number Diff line number Diff line change
Expand Up @@ -24,7 +24,7 @@
/*!
* The ABI version string that the client application builds against.
* Call get_abi_string() to check this against the library build.
* The format is oldest ABI compatible release - ABI compat number.
* The format is oldest API compatible release - ABI compat number.
* The compatibility number allows pre-release ABI to be versioned.
*/
#define UHD_VERSION_ABI_STRING "TMW 3.4.0-0"
Expand Down
5 changes: 4 additions & 1 deletion host/lib/usrp/e100/io_impl.cpp
Original file line number Diff line number Diff line change
Expand Up @@ -42,6 +42,8 @@ using namespace uhd;
using namespace uhd::usrp;
using namespace uhd::transport;

static const size_t vrt_send_header_offset_words32 = 1;

/***********************************************************************
* io impl details (internal to this file)
* - pirate crew of 1
Expand Down Expand Up @@ -324,6 +326,7 @@ tx_streamer::sptr e100_impl::get_tx_stream(const uhd::stream_args_t &args_){

//calculate packet size
static const size_t hdr_size = 0
+ vrt_send_header_offset_words32*sizeof(boost::uint32_t)
+ vrt::max_if_hdr_words32*sizeof(boost::uint32_t)
+ sizeof(vrt::if_packet_info_t().tlr) //forced to have trailer
- sizeof(vrt::if_packet_info_t().sid) //no stream id ever used
Expand All @@ -338,7 +341,7 @@ tx_streamer::sptr e100_impl::get_tx_stream(const uhd::stream_args_t &args_){

//init some streamer stuff
my_streamer->resize(args.channels.size());
my_streamer->set_vrt_packer(&vrt::if_hdr_pack_le);
my_streamer->set_vrt_packer(&vrt::if_hdr_pack_le, vrt_send_header_offset_words32);

//set the converter
uhd::convert::id_type id;
Expand Down
2 changes: 1 addition & 1 deletion host/utils/usrp_n2xx_net_burner.py
Original file line number Diff line number Diff line change
Expand Up @@ -199,7 +199,7 @@ class IP_ADAPTER_INFO (Structure):
try:
ipAddr = adNode.ipAddress.decode()
ipMask = adNode.ipMask.decode()
except: continue
except: ipAddr = None
if ipAddr and ipMask:
hexAddr = struct.unpack("<L", socket.inet_aton(ipAddr))[0]
hexMask = struct.unpack("<L", socket.inet_aton(ipMask))[0]
Expand Down

0 comments on commit 61189d4

Please sign in to comment.