Skip to content
Juan Gonzalez-Gomez edited this page Apr 2, 2024 · 15 revisions

Contents

Introduction

Once apio has been installed and the drivers have been correctly configured is time to start playing with your FPGA!

Install packages

$ apio install --all

Create a project

Go to your project's directory or try the examples that comes with apio

$ apio examples -d Alhambra-II/ledon
$ cd Alhambra-II/ledon

Configure your board

Find your board in the list

  $ apio boards --list

  Supported boards:
  [...]

Note

See the apio boards command

Create an apio.ini file with your board

$ apio init --board alhambra-ii

Process the project

Verify

Check your verilog code using Icarus Verilog

$ apio verify

Simulate

Simulate your test bench using Icarus Verilog and GTKWave

$ apio sim

Note

GTKWave must be installed. Have a look to the apio sim command

Build

Syntesize your project using OSS-CAD-SUITE Tools

$ apio build

Upload

Connect your FPGA board and upload the bitstream using OSS-CAD-SUITE Tools

$ apio upload

All the leds should turn on after some seconds

Congrats! Now You have your fully open source FPGA toolchain ready!


Clone this wiki locally