Skip to content

Commit

Permalink
applet.interface.spi_master: let subclasses add their own pin arguments.
Browse files Browse the repository at this point in the history
This makes sense for cases where a subclassing applet e.g. requires
SS, SCK, and MOSI but doesn't need MISO at all.
  • Loading branch information
whitequark committed Mar 12, 2019
1 parent 4cabca1 commit 724208f
Showing 1 changed file with 6 additions and 5 deletions.
11 changes: 6 additions & 5 deletions software/glasgow/applet/interface/spi_master/__init__.py
Expand Up @@ -279,13 +279,14 @@ class SPIMasterApplet(GlasgowApplet, name="spi-master"):
__pins = ("sck", "ss", "mosi", "miso")

@classmethod
def add_build_arguments(cls, parser, access):
def add_build_arguments(cls, parser, access, omit_pins=False):
super().add_build_arguments(parser, access)

access.add_pin_argument(parser, "sck", required=True)
access.add_pin_argument(parser, "ss")
access.add_pin_argument(parser, "mosi")
access.add_pin_argument(parser, "miso")
if not omit_pins:
access.add_pin_argument(parser, "sck", required=True)
access.add_pin_argument(parser, "ss")
access.add_pin_argument(parser, "mosi")
access.add_pin_argument(parser, "miso")

parser.add_argument(
"-b", "--bit-rate", metavar="FREQ", type=int, default=100,
Expand Down

0 comments on commit 724208f

Please sign in to comment.