Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Error in Lumpy.depth.joined.sh in ClinSV v0.9: "Illegal division by zero at /opt/clinsv/clinSV/scripts/add-depth-to-PE-SR-calls.pl line 130." #51

Open
avanbeelen opened this issue Mar 7, 2023 · 2 comments

Comments

@avanbeelen
Copy link

avanbeelen commented Mar 7, 2023

Hello,

I am running a sample, which is mapped against reference hs37d5, and I got this issue at lumpy.depth.joined.sh. The error log is shown below.

Possible issues:

  1. I used samtools view -s to sub sample the BAM file.
  2. Regarding BWA MEM, I used the -Y to disable soft clipping for supplementary alignments.

Please help, I am at my wits end.

### executing: sh clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/sh/lumpy.depth.joined.sh &> clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/sh/lumpy.depth.joined.e  ...

 ### finished after (hh:mm:ss): 00:00:03
 ### exist status: 31744



 ***** error exist status != 0 (31744), please check clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/sh/lumpy.depth.joined.e for more information

Error log:

+ export PATH=/opt/clinsv/bin:/opt/clinsv/bin:/opt/clinsv/root/bin:/bin/bin:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin
+ PATH=/opt/clinsv/bin:/opt/clinsv/bin:/opt/clinsv/root/bin:/bin/bin:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin
+ perl -e 'while(<>){ if(/^#/){$h.=$_; next;} @_=split("\t",$_); $c=$_[0]; if(!exists($f{$c})){ open($f{$c},">clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.$c.vcf"); print {$f{$c}} $h; }
print {$f{$c}} $_; } foreach (values %f){close} ' clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/small_16D11813.MQ20.OpreProc.vcf
+ xargs -P 12 -t '-i{}' perl /opt/clinsv/clinSV/scripts/add-depth-to-PE-SR-calls.pl '{}' clinsv0.9/clinsv/refdata-b37/genome/human_g1k_v37_decoy.fasta clinsv0.9/output/DCM_V_AVermeer/small_16D11813 clinsv0.9/clinsv/refdata-b37/control/brkp 500 clinsv0.9/clinsv/refdata-b37/control/cnv/bw
+ ls clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.11.vcf clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.12.vcf clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.13.vcf clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.15.vcf clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.18.vcf clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.19.vcf clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.1.vcf clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.20.vcf clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.2.vcf clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.3.vcf clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.5.vcf clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.6.vcf clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.7.vcf
perl /opt/clinsv/clinSV/scripts/add-depth-to-PE-SR-calls.pl clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.11.vcf clinsv0.9/clinsv/refdata-b37/genome/human_g1k_v37_decoy.fasta clinsv0.9/output/DCM_V_AVermeer/small_16D11813 clinsv0.9/clinsv/refdata-b37/control/brkp 500 clinsv0.9/clinsv/refdata-b37/control/cnv/bw
perl /opt/clinsv/clinSV/scripts/add-depth-to-PE-SR-calls.pl clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.12.vcf clinsv0.9/clinsv/refdata-b37/genome/human_g1k_v37_decoy.fasta clinsv0.9/output/DCM_V_AVermeer/small_16D11813 clinsv0.9/clinsv/refdata-b37/control/brkp 500 clinsv0.9/clinsv/refdata-b37/control/cnv/bw
perl /opt/clinsv/clinSV/scripts/add-depth-to-PE-SR-calls.pl clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.13.vcf clinsv0.9/clinsv/refdata-b37/genome/human_g1k_v37_decoy.fasta clinsv0.9/output/DCM_V_AVermeer/small_16D11813 clinsv0.9/clinsv/refdata-b37/control/brkp 500 clinsv0.9/clinsv/refdata-b37/control/cnv/bw
perl /opt/clinsv/clinSV/scripts/add-depth-to-PE-SR-calls.pl clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.15.vcf clinsv0.9/clinsv/refdata-b37/genome/human_g1k_v37_decoy.fasta clinsv0.9/output/DCM_V_AVermeer/small_16D11813 clinsv0.9/clinsv/refdata-b37/control/brkp 500 clinsv0.9/clinsv/refdata-b37/control/cnv/bw
perl /opt/clinsv/clinSV/scripts/add-depth-to-PE-SR-calls.pl clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.18.vcf clinsv0.9/clinsv/refdata-b37/genome/human_g1k_v37_decoy.fasta clinsv0.9/output/DCM_V_AVermeer/small_16D11813 clinsv0.9/clinsv/refdata-b37/control/brkp 500 clinsv0.9/clinsv/refdata-b37/control/cnv/bw
perl /opt/clinsv/clinSV/scripts/add-depth-to-PE-SR-calls.pl clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.19.vcf clinsv0.9/clinsv/refdata-b37/genome/human_g1k_v37_decoy.fasta clinsv0.9/output/DCM_V_AVermeer/small_16D11813 clinsv0.9/clinsv/refdata-b37/control/brkp 500 clinsv0.9/clinsv/refdata-b37/control/cnv/bw
perl /opt/clinsv/clinSV/scripts/add-depth-to-PE-SR-calls.pl clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.1.vcf clinsv0.9/clinsv/refdata-b37/genome/human_g1k_v37_decoy.fasta clinsv0.9/output/DCM_V_AVermeer/small_16D11813 clinsv0.9/clinsv/refdata-b37/control/brkp 500 clinsv0.9/clinsv/refdata-b37/control/cnv/bw
perl /opt/clinsv/clinSV/scripts/add-depth-to-PE-SR-calls.pl clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.20.vcf clinsv0.9/clinsv/refdata-b37/genome/human_g1k_v37_decoy.fasta clinsv0.9/output/DCM_V_AVermeer/small_16D11813 clinsv0.9/clinsv/refdata-b37/control/brkp 500 clinsv0.9/clinsv/refdata-b37/control/cnv/bw
perl /opt/clinsv/clinSV/scripts/add-depth-to-PE-SR-calls.pl clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.2.vcf clinsv0.9/clinsv/refdata-b37/genome/human_g1k_v37_decoy.fasta clinsv0.9/output/DCM_V_AVermeer/small_16D11813 clinsv0.9/clinsv/refdata-b37/control/brkp 500 clinsv0.9/clinsv/refdata-b37/control/cnv/bw
perl /opt/clinsv/clinSV/scripts/add-depth-to-PE-SR-calls.pl clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.3.vcf clinsv0.9/clinsv/refdata-b37/genome/human_g1k_v37_decoy.fasta clinsv0.9/output/DCM_V_AVermeer/small_16D11813 clinsv0.9/clinsv/refdata-b37/control/brkp 500 clinsv0.9/clinsv/refdata-b37/control/cnv/bw
perl /opt/clinsv/clinSV/scripts/add-depth-to-PE-SR-calls.pl clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.5.vcf clinsv0.9/clinsv/refdata-b37/genome/human_g1k_v37_decoy.fasta clinsv0.9/output/DCM_V_AVermeer/small_16D11813 clinsv0.9/clinsv/refdata-b37/control/brkp 500 clinsv0.9/clinsv/refdata-b37/control/cnv/bw
perl /opt/clinsv/clinSV/scripts/add-depth-to-PE-SR-calls.pl clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.6.vcf clinsv0.9/clinsv/refdata-b37/genome/human_g1k_v37_decoy.fasta clinsv0.9/output/DCM_V_AVermeer/small_16D11813 clinsv0.9/clinsv/refdata-b37/control/brkp 500 clinsv0.9/clinsv/refdata-b37/control/cnv/bw
local perl lib: /opt/clinsv/clinSV/scripts/../../perlib
local perl lib: /opt/clinsv/clinSV/scripts/../../perlib
local perl lib: /opt/clinsv/clinSV/scripts/../../perlib
local perl lib: /opt/clinsv/clinSV/scripts/../../perlib
local perl lib: /opt/clinsv/clinSV/scripts/../../perlib
# running add-depth-to-PE-SR-calls-v4.pl
# in VCF: clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.1.vcf
# out VCF: clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.1.out
# in SR control: clinsv0.9/clinsv/refdata-b37/control/brkp/SR.brkp.gz
# in PE control: clinsv0.9/clinsv/refdata-b37/control/brkp/PE.brkp.gz
create tabix vcf to extract the copy neutral deletion duplications...
local perl lib: /opt/clinsv/clinSV/scripts/../../perlib
local perl lib: /opt/clinsv/clinSV/scripts/../../perlib
# running add-depth-to-PE-SR-calls-v4.pl
local perl lib: /opt/clinsv/clinSV/scripts/../../perlib
local perl lib: /opt/clinsv/clinSV/scripts/../../perlib
local perl lib: /opt/clinsv/clinSV/scripts/../../perlib
# running add-depth-to-PE-SR-calls-v4.pl
# in VCF: clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.18.vcf
# out VCF: clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.18.out
# in SR control: clinsv0.9/clinsv/refdata-b37/control/brkp/SR.brkp.gz
# in PE control: clinsv0.9/clinsv/refdata-b37/control/brkp/PE.brkp.gz
create tabix vcf to extract the copy neutral deletion duplications...
local perl lib: /opt/clinsv/clinSV/scripts/../../perlib
local perl lib: /opt/clinsv/clinSV/scripts/../../perlib
# running add-depth-to-PE-SR-calls-v4.pl
# in VCF: clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.12.vcf
# out VCF: clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.12.out
# in SR control: clinsv0.9/clinsv/refdata-b37/control/brkp/SR.brkp.gz
# in PE control: clinsv0.9/clinsv/refdata-b37/control/brkp/PE.brkp.gz
create tabix vcf to extract the copy neutral deletion duplications...
# running add-depth-to-PE-SR-calls-v4.pl
# in VCF: clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.3.vcf
# out VCF: clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.3.out
# in SR control: clinsv0.9/clinsv/refdata-b37/control/brkp/SR.brkp.gz
# in PE control: clinsv0.9/clinsv/refdata-b37/control/brkp/PE.brkp.gz
create tabix vcf to extract the copy neutral deletion duplications...
# in VCF: clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.5.vcf
# out VCF: clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.5.out
# running add-depth-to-PE-SR-calls-v4.pl
# in VCF: clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.13.vcf
# out VCF: clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.13.out
# in SR control: clinsv0.9/clinsv/refdata-b37/control/brkp/SR.brkp.gz
# in PE control: clinsv0.9/clinsv/refdata-b37/control/brkp/PE.brkp.gz
create tabix vcf to extract the copy neutral deletion duplications...
# running add-depth-to-PE-SR-calls-v4.pl
# in VCF: clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.2.vcf
# out VCF: clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.2.out
# in SR control: clinsv0.9/clinsv/refdata-b37/control/brkp/SR.brkp.gz
# in PE control: clinsv0.9/clinsv/refdata-b37/control/brkp/PE.brkp.gz
create tabix vcf to extract the copy neutral deletion duplications...
# running add-depth-to-PE-SR-calls-v4.pl
# in VCF: clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.20.vcf
# out VCF: clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.20.out
# in SR control: clinsv0.9/clinsv/refdata-b37/control/brkp/SR.brkp.gz
# in PE control: clinsv0.9/clinsv/refdata-b37/control/brkp/PE.brkp.gz
create tabix vcf to extract the copy neutral deletion duplications...
# running add-depth-to-PE-SR-calls-v4.pl
# in VCF: clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.19.vcf
# out VCF: clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.19.out
# in SR control: clinsv0.9/clinsv/refdata-b37/control/brkp/SR.brkp.gz
# in PE control: clinsv0.9/clinsv/refdata-b37/control/brkp/PE.brkp.gz
create tabix vcf to extract the copy neutral deletion duplications...
# running add-depth-to-PE-SR-calls-v4.pl
# in VCF: clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.15.vcf
# out VCF: clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.15.out
# in SR control: clinsv0.9/clinsv/refdata-b37/control/brkp/SR.brkp.gz
# in PE control: clinsv0.9/clinsv/refdata-b37/control/brkp/PE.brkp.gz
create tabix vcf to extract the copy neutral deletion duplications...
open in the input VCF...
# running add-depth-to-PE-SR-calls-v4.pl
# in VCF: clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.6.vcf
# out VCF: clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.6.out
# in SR control: clinsv0.9/clinsv/refdata-b37/control/brkp/SR.brkp.gz
# in PE control: clinsv0.9/clinsv/refdata-b37/control/brkp/PE.brkp.gz
create tabix vcf to extract the copy neutral deletion duplications...
# in SR control: clinsv0.9/clinsv/refdata-b37/control/brkp/SR.brkp.gz
# in PE control: clinsv0.9/clinsv/refdata-b37/control/brkp/PE.brkp.gz
create tabix vcf to extract the copy neutral deletion duplications...
# running add-depth-to-PE-SR-calls-v4.pl
# in VCF: clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.11.vcf
# out VCF: clinsv0.9/output/DCM_V_AVermeer/small_16D11813/SVs/joined/lumpy/tmp/in.11.out
# in SR control: clinsv0.9/clinsv/refdata-b37/control/brkp/SR.brkp.gz
# in PE control: clinsv0.9/clinsv/refdata-b37/control/brkp/PE.brkp.gz
create tabix vcf to extract the copy neutral deletion duplications...
open in the input VCF...
open in the input VCF...
open in the input VCF...
open in the input VCF...
open in the input VCF...
open in the input VCF...
open in the input VCF...
open in the input VCF...
open in the input VCF...
open in the input VCF...
open in the input VCF...
samples in vcf: test2
open the bw files...
samples in vcf: test2
open the bw files...
samples in vcf: test2
open the bw files...
samples in vcf: test2
open the bw files...
samples in vcf: test2
open the bw files...
samples in vcf: test2
open the bw files...
samples in vcf: test2
open the bw files...
samples in vcf: test2
open the bw files...
samples in vcf: test2
open the bw files...
samples in vcf: test2
open the bw files...
samples in vcf: test2
open the bw files...
samples in vcf: test2
open the bw files...
# sample: test2, bw found
# sample: test2, bw found
# sample: test2, bw found
# sample: test2, bw found
# sample: test2, bw found
# sample: test2, bw found
# sample: test2, bw found
# sample: test2, bw found
# sample: test2, bw found
# sample: test2, bw found
# sample: test2, bw found
# sample: test2, bw found
determine the average coverage per sample acrosse >MQ50 regions...
determine the average coverage per sample acrosse >MQ50 regions...
determine the average coverage per sample acrosse >MQ50 regions...
determine the average coverage per sample acrosse >MQ50 regions...
determine the average coverage per sample acrosse >MQ50 regions...
determine the average coverage per sample acrosse >MQ50 regions...
determine the average coverage per sample acrosse >MQ50 regions...
determine the average coverage per sample acrosse >MQ50 regions...
determine the average coverage per sample acrosse >MQ50 regions...
determine the average coverage per sample acrosse >MQ50 regions...
determine the average coverage per sample acrosse >MQ50 regions...
determine the average coverage per sample acrosse >MQ50 regions...
Illegal division by zero at /opt/clinsv/clinSV/scripts/add-depth-to-PE-SR-calls.pl line 130.
Illegal division by zero at /opt/clinsv/clinSV/scripts/add-depth-to-PE-SR-calls.pl line 130.
Illegal division by zero at /opt/clinsv/clinSV/scripts/add-depth-to-PE-SR-calls.pl line 130.
Illegal division by zero at /opt/clinsv/clinSV/scripts/add-depth-to-PE-SR-calls.pl line 130.
Illegal division by zero at /opt/clinsv/clinSV/scripts/add-depth-to-PE-SR-calls.pl line 130.
Illegal division by zero at /opt/clinsv/clinSV/scripts/add-depth-to-PE-SR-calls.pl line 130.
Illegal division by zero at /opt/clinsv/clinSV/scripts/add-depth-to-PE-SR-calls.pl line 130.
Illegal division by zero at /opt/clinsv/clinSV/scripts/add-depth-to-PE-SR-calls.pl line 130.
Illegal division by zero at /opt/clinsv/clinSV/scripts/add-depth-to-PE-SR-calls.pl line 130.
Illegal division by zero at /opt/clinsv/clinSV/scripts/add-depth-to-PE-SR-calls.pl line 130.
Illegal division by zero at /opt/clinsv/clinSV/scripts/add-depth-to-PE-SR-calls.pl line 130.
Illegal division by zero at /opt/clinsv/clinSV/scripts/add-depth-to-PE-SR-calls.pl line 130.
xargs: perl: exited with status 255; aborting
xargs: perl: exited with status 255; aborting


@drmjc
Copy link
Member

drmjc commented Mar 13, 2023

Hi, i'm guessing from your other thread you saw that we use -M rather than -Y? Does that solve this problem?

@avanbeelen
Copy link
Author

avanbeelen commented Mar 14, 2023 via email

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants