Skip to content

KeerthanaPrabhu04/UVM_TestBench_For_4-to-2_Encoder

Repository files navigation

UVM_TestBench_For_4-to-2_Encoder

Complete UVM TestBench For Verification Of 4:2 Encoder

Edaplayground Link : https://www.edaplayground.com/x/ukbz

Verifying the functionality of a 4-to-2 encoder using UVM (Universal Verification Methodology) on EDA Playground allows for a structured and automated testing environment. UVM provides components like the environment, driver, monitor, and scoreboard to comprehensively test the encoder's behavior under various input scenarios. EDA Playground acts as a convenient online platform for simulating the encoder design and executing the UVM testbench. This combination ensures the encoder operates as expected by applying diverse input patterns and comparing the outputs against the correct encoded values.

Releases

No releases published

Packages

No packages published