Skip to content

KishanJ29/UART_Implementation

Repository files navigation

UART_Implementation

VHDL Implementation 

An Implementation of UART protocol in VHDL

  • Multiple Baud rate
    • Two baud rate option given 9600 Baud and 115200 Baud
    • Baud_rate count is derived based on system clock of 12MHz.
  • The Final block consisit of a loopback where the Transmitter is connected with the receiver.

Releases

No releases published

Packages

No packages published

Languages