Skip to content

Commit

Permalink
Clean-up
Browse files Browse the repository at this point in the history
  • Loading branch information
Cyril Venditti authored and Cyril Venditti committed Nov 12, 2018
1 parent 4f7d64b commit 86b1e16
Show file tree
Hide file tree
Showing 17 changed files with 2,245 additions and 2,877 deletions.
6 changes: 3 additions & 3 deletions Altair8800-lite.qsf
Original file line number Diff line number Diff line change
Expand Up @@ -118,7 +118,7 @@ set_global_assignment -name FAMILY "Cyclone V"
set_global_assignment -name DEVICE 5CSEBA6U23I7
set_global_assignment -name TOP_LEVEL_ENTITY sys_top
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 17.0.0
set_global_assignment -name LAST_QUARTUS_VERSION "18.0.0 Standard Edition"
set_global_assignment -name LAST_QUARTUS_VERSION "17.0.0 Lite Edition"
set_global_assignment -name PROJECT_CREATION_TIME_DATE "12:46:37 JULY 05, 2018"
set_global_assignment -name DEVICE_FILTER_PACKAGE UFBGA
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 672
Expand Down Expand Up @@ -669,5 +669,5 @@ set_global_assignment -name QIP_FILE sys/sys.qip
set_global_assignment -name VERILOG_FILE core/rtl/reset.v
set_global_assignment -name VERILOG_FILE core/roms/altair/turnmon_mem.v
set_global_assignment -name SYSTEMVERILOG_FILE common.sv
set_global_assignment -name SLD_FILE db/cur_auto_stripped.stp
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
set_global_assignment -name SLD_FILE db/cur_auto_stripped.stp
6 changes: 3 additions & 3 deletions Altair8800.qpf
Original file line number Diff line number Diff line change
Expand Up @@ -19,14 +19,14 @@
#
# Quartus Prime
# Version 17.0.0 Build 595 04/25/2017 SJ Lite Edition
# Date created = 13:06:58 July 27, 2018
# Date created = 15:07:02 November 10, 2018
#
# -------------------------------------------------------------------------- #

QUARTUS_VERSION = "17.0"
DATE = "13:06:58 July 27, 2018"
DATE = "15:07:02 November 10, 2018"

# Revisions

PROJECT_REVISION = "Altair8800-lite"
PROJECT_REVISION = "Altair8800"
PROJECT_REVISION = "Altair8800-lite"
38 changes: 34 additions & 4 deletions Altair8800.qsf
Original file line number Diff line number Diff line change
Expand Up @@ -27,7 +27,7 @@ set_global_assignment -name FAMILY "Cyclone V"
set_global_assignment -name DEVICE 5CSEBA6U23I7
set_global_assignment -name TOP_LEVEL_ENTITY sys_top
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.2
set_global_assignment -name LAST_QUARTUS_VERSION "18.0.0 Standard Edition"
set_global_assignment -name LAST_QUARTUS_VERSION "17.0.0 Lite Edition"
set_global_assignment -name PROJECT_CREATION_TIME_DATE "01:53:30 APRIL 20, 2017"
set_global_assignment -name DEVICE_FILTER_PACKAGE UFBGA
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 672
Expand Down Expand Up @@ -351,9 +351,39 @@ set_location_assignment PIN_W20 -to SW[3]

set_global_assignment -name PRE_FLOW_SCRIPT_FILE "quartus_sh:sys/build_id.tcl"

set_global_assignment -name CDF_FILE jtag.cdf
set_global_assignment -name QIP_FILE sys/sys.qip
set_global_assignment -name VERILOG_FILE core/rtl/frequency_divider.v
set_global_assignment -name SYSTEMVERILOG_FILE core/roms/altair/samples_mem.sv
set_global_assignment -name QIP_FILE sys/sys_q13.qip
set_global_assignment -name QSYS_FILE sys/vip.qsys
set_global_assignment -name QIP_FILE sys/pll_hdmi_q13.qip
set_global_assignment -name SYSTEMVERILOG_FILE core/rtl/altair.sv
set_global_assignment -name SYSTEMVERILOG_FILE core/rtl/prg_memory.sv
set_global_assignment -name VERILOG_FILE pulse_gen.v
set_global_assignment -name VERILOG_FILE core/rtl/vm80a.v
set_global_assignment -name VERILOG_FILE core/rtl/simpleuart.v
set_global_assignment -name VERILOG_FILE core/rtl/sense_switch.v
set_global_assignment -name VERILOG_FILE core/rtl/rom_memory.v
set_global_assignment -name VERILOG_FILE core/rtl/ram_memory.v
set_global_assignment -name VERILOG_FILE core/rtl/mc6850.v
set_global_assignment -name VERILOG_FILE core/rtl/jmp_boot.v
set_global_assignment -name VERILOG_FILE core/rtl/examine_next.v
set_global_assignment -name VERILOG_FILE core/rtl/examine.v
set_global_assignment -name VERILOG_FILE core/rtl/deposit_next.v
set_global_assignment -name VERILOG_FILE core/rtl/deposit.v
set_global_assignment -name VERILOG_FILE core/rtl/debounce_pb.v
set_global_assignment -name MIF_FILE graphics/sprites_palette.mif
set_global_assignment -name MIF_FILE graphics/sprites.mif
set_global_assignment -name MIF_FILE graphics/background_palette.mif
set_global_assignment -name MIF_FILE graphics/background.mif
set_global_assignment -name SYSTEMVERILOG_FILE Altair8800.sv
set_global_assignment -name VERILOG_FILE vga_driver.v
set_global_assignment -name SYSTEMVERILOG_FILE front_panel_mapping.sv
set_global_assignment -name SYSTEMVERILOG_FILE front_panel.sv
set_global_assignment -name VERILOG_FILE cursor.v
set_global_assignment -name VERILOG_FILE delay.v
set_global_assignment -name VERILOG_FILE d_flip_flop.v
set_global_assignment -name QIP_FILE sram_image.qip
set_global_assignment -name QIP_FILE sys/sys.qip
set_global_assignment -name VERILOG_FILE core/rtl/reset.v
set_global_assignment -name VERILOG_FILE core/roms/altair/turnmon_mem.v
set_global_assignment -name SYSTEMVERILOG_FILE common.sv
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
12 changes: 8 additions & 4 deletions Altair8800.sv
Original file line number Diff line number Diff line change
Expand Up @@ -54,15 +54,18 @@ module emu
//Video aspect ratio for HDMI. Most retro systems have ratio 4:3.
output [7:0] VIDEO_ARX,
output [7:0] VIDEO_ARY,


output [3:0] sconf,

output [7:0] VGA_R,
output [7:0] VGA_G,
output [7:0] VGA_B,
output VGA_HS,
output VGA_VS,
output VGA_DE, // = ~(VBlank | HBlank)
output VGA_F1,

output [1:0] VGA_SL,

output LED_USER, // 1 - ON, 0 - OFF.

// b[1]: 0 - LED status is system status OR'd with b[0]
Expand Down Expand Up @@ -109,8 +112,8 @@ module emu
output SDRAM_nCAS,
output SDRAM_nRAS,
output SDRAM_nWE,
input RX,
output TX
input RX,
output TX
);

`include "common.sv"
Expand All @@ -126,6 +129,7 @@ assign LED_POWER = 0;
assign VIDEO_ARX = 16;
assign VIDEO_ARY = 9;

assign sconf = status[13:10];

`include "build_id.v"
localparam CONF_STR = {
Expand Down
118 changes: 0 additions & 118 deletions c5_pin_model_dump.txt

This file was deleted.

Loading

0 comments on commit 86b1e16

Please sign in to comment.