Skip to content

Commit

Permalink
Merge pull request #107 from grantfirl/ufs-dev-PR69
Browse files Browse the repository at this point in the history
UFS-dev PR#69
  • Loading branch information
grantfirl committed Jan 11, 2024
2 parents e1ce405 + 0ce6d4f commit 4f76537
Show file tree
Hide file tree
Showing 58 changed files with 766 additions and 798 deletions.
99 changes: 53 additions & 46 deletions .github/pull_request_template.md
Original file line number Diff line number Diff line change
@@ -1,20 +1,32 @@
<!-- THE FOLLOWING IS FOR THE PR AUTHOR TO FILL OUT
PLEASE DO NOT MODIFY THE TEMPLATE BEYOND FILLING OUT THE PROPER SECTIONS -->
## PR Author Checklist:
<!-- Please complete all items in list. -->
- [ ] I have linked PR's from all sub-components involved in section below. <!-- PLEASE DO NOT LINK SUBCOMPONENT ISSUES -->
- [ ] I am confirming reviews are completed in ALL sub-component PR's.
- [ ] I have run the full RT suite on either Hera/Cheyenne AND have attached the log to this PR below this line:
- LOG:
- [ ] I have added the list of all failed regression tests to "Anticipated changes" section.
- [ ] I have filled out all sections of the template.

## Description
<!--
Provide a detailed description of what this PR does. What bug does it fix, or what feature does it add? Is a change of answers expected from this PR? Are any library updates included in this PR (modulefiles etc.)?
-->
<!-- Provide a detailed description of what this PR does in the space provided below-->

### Input data additions/changes
- [ ] No changes are expected to input data.
- [ ] Changes are expected to input data:
- [ ] New input data.
- [ ] Updated input data.

### Anticipated changes to regression tests:
- [ ] No changes are expected to any regression test.
- [ ] Changes are expected to the following tests:
<!-- Please insert what RT's change and why you expect them to change -->
## Linked Issues and Pull Requests
### Associated UFSWM Issue to close
<!-- Example: "- Closes #1698" -->

## Subcomponents involved:

### Subcomponent Pull Requests
<!-- format: - <community>/<repo>/pull/<PR number> i.e.: - NOAA-EMC/fv3atm/pull/33 or "None" -->


### Blocking Dependencies
<!-- Example: "- Depends on #1733" or "None" -->


### Subcomponents involved:
- [ ] AQM
- [ ] CDEPS
- [ ] CICE
Expand All @@ -29,44 +41,38 @@ Provide a detailed description of what this PR does. What bug does it fix, or wh
- [ ] stochastic_physics
- [ ] none

### Library Updates/Changes
## Anticipated Changes
### Input data
- [ ] No changes are expected to input data.
- [ ] Changes are expected to input data:
- [ ] New input data.
- [ ] Updated input data.

### Regression Tests:
- [ ] No changes are expected to any regression test.
- [ ] Changes are expected to the following tests:
<!-- Please insert what RT's change and why you expect them to change in the space provided below -->
<details><summary>Tests effected by changes in this PR:</summary>
<!-- ADD ITEMS HERE or add "None" -->

</details>

### Libraries
<!-- Library updates take time. If this PR needs updates to libraries, please make sure to accomplish the following tasks -->
- [ ] Not Needed
- [ ] Create separate issue in [JCSDA/spack-stack](https://github.com/JCSDA/spack-stack) asking for update to library. Include library name, library version.
- [ ] Add issue link from JCSDA/spack-stack following this item
<!-- for example: "- JCSDA/spack-stack/issue/1757" -->

### Combined with PR's (If Applicable):

## Commit Queue Checklist:
<!--
Please complete all items in list. Make sure to attach logs from RT testing in comment, not in repository. Once all boxes are checked, please add the label "Ready for Commit Queue".
-->
- [ ] Link PR's from all sub-components involved in section below
- [ ] Confirm reviews completed in ALL sub-component PR's
- [ ] Add all appropriate labels to this PR.
- [ ] Run full RT suite on either Hera/Cheyenne AND attach log to a PR comment.
- [ ] Add list of any failed regression tests to "Anticipated changes to regression tests" section.

## Linked PR's and Issues:
<!--
Please link dependent pull requests.
EXAMPLE: "- Depends on NOAA-EMC/fv3atm/pull/<pullrequest_number>"
Please link the related issues to be closed with this PR, whether in this repository, or in another repository.
EXAMPLE: "- Closes NOAA-EMC/fv3atm/issues/<issue_number>"
PLEASE MAKE SURE TO USE THE - with a space before the "Depends on" or "Closes" as they show up well on github.
-->

## Testing Day Checklist:
<!--
Please consult the ufs-weather-model [wiki](https://github.com/ufs-community/ufs-weather-model/wiki/Making-code-changes-in-the-UFS-weather-model-and-its-subcomponents) if you are unsure how to do this.
-->
- [ ] Needed
- [ ] Create separate issue in [JCSDA/spack-stack](https://github.com/JCSDA/spack-stack) asking for update to library. Include library name, library version.
- [ ] Add issue link from JCSDA/spack-stack following this item <!-- for example: "- JCSDA/spack-stack/issue/1757" -->


<!-- THE FOLLOWING IS FOR CODE MANAGERS ONLY DO NOT FILL OUT -->
<details><summary>Code Managers Log</summary>

- [ ] This PR is up-to-date with the top of all sub-component repositories except for those sub-components which are the subject of this PR.
- [ ] Move new/updated input data on RDHPCS Hera and propagate input data changes to all supported systems.
- [ ] N/A

### Testing Log (for CM's):
### Testing Log:
- RDHPCS
- [ ] Hera
- [ ] Orion
Expand All @@ -81,3 +87,4 @@ Please consult the ufs-weather-model [wiki](https://github.com/ufs-community/ufs
- opnReqTest
- [ ] N/A
- [ ] Log attached to comment
</details>
2 changes: 1 addition & 1 deletion CICE-interface/CICE
Submodule CICE updated 75 files
+29 −0 .readthedocs.yaml
+2 −160 cicecore/cicedyn/analysis/ice_diagnostics.F90
+2 −2 cicecore/cicedyn/analysis/ice_history.F90
+3 −3 cicecore/cicedyn/analysis/ice_history_pond.F90
+5 −3 cicecore/cicedyn/analysis/ice_history_shared.F90
+1 −1 cicecore/cicedyn/analysis/ice_history_snow.F90
+21 −20 cicecore/cicedyn/dynamics/ice_dyn_vp.F90
+4 −8 cicecore/cicedyn/dynamics/ice_transport_driver.F90
+52 −61 cicecore/cicedyn/dynamics/ice_transport_remap.F90
+137 −402 cicecore/cicedyn/general/ice_forcing.F90
+47 −30 cicecore/cicedyn/general/ice_init.F90
+32 −28 cicecore/cicedyn/infrastructure/comm/mpi/ice_exit.F90
+156 −76 cicecore/cicedyn/infrastructure/comm/mpi/ice_global_reductions.F90
+17 −17 cicecore/cicedyn/infrastructure/comm/mpi/ice_reprosum.F90
+1 −1 cicecore/cicedyn/infrastructure/comm/serial/ice_boundary.F90
+47 −22 cicecore/cicedyn/infrastructure/comm/serial/ice_exit.F90
+156 −76 cicecore/cicedyn/infrastructure/comm/serial/ice_global_reductions.F90
+2 −2 cicecore/cicedyn/infrastructure/ice_domain.F90
+9 −9 cicecore/cicedyn/infrastructure/io/io_binary/ice_history_write.F90
+43 −14 cicecore/cicedyn/infrastructure/io/io_netcdf/ice_history_write.F90
+34 −13 cicecore/cicedyn/infrastructure/io/io_pio2/ice_history_write.F90
+10 −10 cicecore/drivers/nuopc/cmeps/ice_mesh_mod.F90
+122 −38 cicecore/drivers/unittest/optargs/optargs.F90
+76 −40 cicecore/drivers/unittest/optargs/optargs_subs.F90
+59 −0 cicecore/drivers/unittest/opticep/CICE.F90
+71 −0 cicecore/drivers/unittest/opticep/CICE_FinalMod.F90
+517 −0 cicecore/drivers/unittest/opticep/CICE_InitMod.F90
+741 −0 cicecore/drivers/unittest/opticep/CICE_RunMod.F90
+30 −0 cicecore/drivers/unittest/opticep/README
+3,135 −0 cicecore/drivers/unittest/opticep/ice_init_column.F90
+1,784 −0 cicecore/drivers/unittest/opticep/ice_step_mod.F90
+34 −25 cicecore/drivers/unittest/sumchk/sumchk.F90
+11 −11 cicecore/shared/ice_fileunits.F90
+4 −2 configuration/scripts/Makefile
+15 −0 configuration/scripts/cice.batch.csh
+12 −0 configuration/scripts/cice.launch.csh
+2 −1 configuration/scripts/ice_in
+69 −0 configuration/scripts/machines/Macros.derecho_intel
+2 −2 configuration/scripts/machines/Macros.onyx_intel
+70 −0 configuration/scripts/machines/env.derecho_intel
+5 −5 configuration/scripts/machines/env.nrlssc_gnu
+6 −6 configuration/scripts/machines/env.onyx_cray
+6 −6 configuration/scripts/machines/env.onyx_gnu
+6 −6 configuration/scripts/machines/env.onyx_intel
+2 −0 configuration/scripts/options/set_env.opticep
+2 −2 configuration/scripts/options/set_nml.gx1
+2 −2 configuration/scripts/options/set_nml.gx3
+1 −1 configuration/scripts/options/set_nml.gx3ncarbulk
+1 −1 configuration/scripts/options/set_nml.histinst
+2 −0 configuration/scripts/options/set_nml.jra55
+2 −0 configuration/scripts/options/set_nml.jra55do
+1 −1 configuration/scripts/options/set_nml.qc
+1 −1 configuration/scripts/options/set_nml.run3dt
+2 −2 configuration/scripts/options/set_nml.tx1
+3 −0 configuration/scripts/tests/base_suite.ts
+21 −6 configuration/scripts/tests/baseline.script
+11 −1 configuration/scripts/tests/comparelog.csh
+7 −1 configuration/scripts/tests/unittest_suite.ts
+4 −3 doc/source/cice_index.rst
+11 −6 doc/source/developer_guide/dg_forcing.rst
+3 −3 doc/source/developer_guide/dg_other.rst
+2 −2 doc/source/developer_guide/dg_tools.rst
+1 −1 doc/source/intro/about.rst
+1 −1 doc/source/intro/citing.rst
+5 −5 doc/source/master_list.bib
+2 −2 doc/source/science_guide/sg_coupling.rst
+2 −2 doc/source/science_guide/sg_dynamics.rst
+2 −2 doc/source/science_guide/sg_fundvars.rst
+3 −3 doc/source/science_guide/sg_horiztrans.rst
+1 −1 doc/source/science_guide/sg_tracers.rst
+5 −5 doc/source/user_guide/ug_case_settings.rst
+9 −5 doc/source/user_guide/ug_implementation.rst
+8 −6 doc/source/user_guide/ug_testing.rst
+2 −2 doc/source/user_guide/ug_troubleshooting.rst
+1 −1 icepack
2 changes: 1 addition & 1 deletion FV3
2 changes: 1 addition & 1 deletion GOCART
Submodule GOCART updated 56 files
+2 −2 .circleci/config.yml
+29 −0 .github/workflows/enforce-labels.yml
+74 −1 CHANGELOG.md
+29 −17 ESMF/GOCART2G_GridComp/CA2G_GridComp/AMIP.20C/CA2G_GridComp_ExtData.rc
+31 −19 ESMF/GOCART2G_GridComp/CA2G_GridComp/AMIP/CA2G_GridComp_ExtData.rc
+49 −62 ESMF/GOCART2G_GridComp/CA2G_GridComp/AMIP/CA2G_GridComp_ExtData.yaml
+101 −70 ESMF/GOCART2G_GridComp/CA2G_GridComp/CA2G_GridCompMod.F90
+31 −19 ESMF/GOCART2G_GridComp/CA2G_GridComp/CA2G_GridComp_ExtData.rc
+47 −60 ESMF/GOCART2G_GridComp/CA2G_GridComp/CA2G_GridComp_ExtData.yaml
+32 −31 ESMF/GOCART2G_GridComp/CA2G_GridComp/CA2G_StateSpecs.rc
+4 −1 ESMF/GOCART2G_GridComp/CA2G_GridComp/CMakeLists.txt
+5 −0 ESMF/GOCART2G_GridComp/CMakeLists.txt
+34 −74 ESMF/GOCART2G_GridComp/DU2G_GridComp/AMIP/DU2G_GridComp_ExtData.yaml
+5 −0 ESMF/GOCART2G_GridComp/DU2G_GridComp/CMakeLists.txt
+105 −78 ESMF/GOCART2G_GridComp/DU2G_GridComp/DU2G_GridCompMod.F90
+29 −29 ESMF/GOCART2G_GridComp/DU2G_GridComp/DU2G_GridComp_ExtData.rc
+34 −74 ESMF/GOCART2G_GridComp/DU2G_GridComp/DU2G_GridComp_ExtData.yaml
+1 −0 ESMF/GOCART2G_GridComp/DU2G_GridComp/DU2G_StateSpecs.rc
+5 −0 ESMF/GOCART2G_GridComp/GA_Environment/CMakeLists.txt
+215 −164 ESMF/GOCART2G_GridComp/GOCART2G_GridCompMod.F90
+9 −3 ESMF/GOCART2G_GridComp/GOCART2G_StateSpecs.rc
+3 −3 ESMF/GOCART2G_GridComp/NI2G_GridComp/AMIP.20C/NI2G_GridComp_ExtData.rc
+4 −4 ESMF/GOCART2G_GridComp/NI2G_GridComp/AMIP/NI2G_GridComp_ExtData.rc
+7 −17 ESMF/GOCART2G_GridComp/NI2G_GridComp/AMIP/NI2G_GridComp_ExtData.yaml
+5 −0 ESMF/GOCART2G_GridComp/NI2G_GridComp/CMakeLists.txt
+136 −92 ESMF/GOCART2G_GridComp/NI2G_GridComp/NI2G_GridCompMod.F90
+4 −4 ESMF/GOCART2G_GridComp/NI2G_GridComp/NI2G_GridComp_ExtData.rc
+6 −16 ESMF/GOCART2G_GridComp/NI2G_GridComp/NI2G_GridComp_ExtData.yaml
+12 −11 ESMF/GOCART2G_GridComp/NI2G_GridComp/NI2G_StateSpecs.rc
+34 −74 ESMF/GOCART2G_GridComp/SS2G_GridComp/AMIP/SS2G_GridComp_ExtData.yaml
+4 −1 ESMF/GOCART2G_GridComp/SS2G_GridComp/CMakeLists.txt
+84 −68 ESMF/GOCART2G_GridComp/SS2G_GridComp/SS2G_GridCompMod.F90
+25 −25 ESMF/GOCART2G_GridComp/SS2G_GridComp/SS2G_GridComp_ExtData.rc
+34 −74 ESMF/GOCART2G_GridComp/SS2G_GridComp/SS2G_GridComp_ExtData.yaml
+8 −7 ESMF/GOCART2G_GridComp/SS2G_GridComp/SS2G_StateSpecs.rc
+13 −13 ESMF/GOCART2G_GridComp/SU2G_GridComp/AMIP.20C/SU2G_GridComp_ExtData.rc
+14 −14 ESMF/GOCART2G_GridComp/SU2G_GridComp/AMIP/SU2G_GridComp_ExtData.rc
+19 −52 ESMF/GOCART2G_GridComp/SU2G_GridComp/AMIP/SU2G_GridComp_ExtData.yaml
+5 −0 ESMF/GOCART2G_GridComp/SU2G_GridComp/CMakeLists.txt
+179 −142 ESMF/GOCART2G_GridComp/SU2G_GridComp/SU2G_GridCompMod.F90
+14 −14 ESMF/GOCART2G_GridComp/SU2G_GridComp/SU2G_GridComp_ExtData.rc
+18 −51 ESMF/GOCART2G_GridComp/SU2G_GridComp/SU2G_GridComp_ExtData.yaml
+11 −9 ESMF/GOCART2G_GridComp/SU2G_GridComp/SU2G_StateSpecs.rc
+1 −1 ESMF/GOCART_GridComp/CO_GridComp/AMIP/CO_GridComp_ExtData.rc
+3 −3 ESMF/GOCART_GridComp/CO_GridComp/AMIP/CO_GridComp_ExtData.yaml
+1 −1 ESMF/GOCART_GridComp/CO_GridComp/CO_GridComp_ExtData.rc
+2 −2 ESMF/GOCART_GridComp/CO_GridComp/CO_GridComp_ExtData.yaml
+1 −1 ESMF/GOCART_GridComp/GOCART_GridCompMod.F90
+1 −1 ESMF/GOCART_GridComp/O3_GridComp/AMIP.20C/O3_GridComp.rc
+1 −1 ESMF/GOCART_GridComp/O3_GridComp/AMIP/O3_GridComp.rc
+1 −1 ESMF/GOCART_GridComp/O3_GridComp/O3_GridComp.rc
+1 −1 ESMF/Shared/Chem_AeroGeneric.F90
+5 −0 Process_Library/CMakeLists.txt
+34 −24 Process_Library/GOCART2G_MieMod.F90
+82 −32 Process_Library/GOCART2G_Process.F90
+7 −6 components.yaml
2 changes: 1 addition & 1 deletion HYCOM-interface/HYCOM
Submodule HYCOM updated 1 files
+4 −11 NUOPC/hycom_couple.F90
2 changes: 1 addition & 1 deletion MOM6-interface/MOM6
Submodule MOM6 updated 119 files
9 changes: 7 additions & 2 deletions MOM6-interface/mom6_files.cmake
Original file line number Diff line number Diff line change
Expand Up @@ -58,15 +58,19 @@ list(APPEND mom6_src_files
MOM6/src/diagnostics/MOM_obsolete_params.F90
MOM6/src/diagnostics/MOM_sum_output.F90
MOM6/src/diagnostics/MOM_wave_speed.F90
MOM6/src/diagnostics/MOM_wave_structure.F90

MOM6/src/equation_of_state/MOM_EOS.F90
MOM6/src/equation_of_state/MOM_EOS_NEMO.F90
MOM6/src/equation_of_state/MOM_EOS_Jackett06.F90
MOM6/src/equation_of_state/MOM_EOS_Roquet_SpV.F90
MOM6/src/equation_of_state/MOM_EOS_Roquet_rho.F90
MOM6/src/equation_of_state/MOM_EOS_TEOS10.F90
MOM6/src/equation_of_state/MOM_EOS_UNESCO.F90
MOM6/src/equation_of_state/MOM_EOS_Wright.F90
MOM6/src/equation_of_state/MOM_EOS_Wright_full.F90
MOM6/src/equation_of_state/MOM_EOS_Wright_red.F90
MOM6/src/equation_of_state/MOM_EOS_linear.F90
MOM6/src/equation_of_state/MOM_TFreeze.F90
MOM6/src/equation_of_state/MOM_temperature_convert.F90

MOM6/src/equation_of_state/TEOS10/gsw_chem_potential_water_t_exact.f90
MOM6/src/equation_of_state/TEOS10/gsw_ct_freezing_exact.f90
Expand Down Expand Up @@ -160,6 +164,7 @@ list(APPEND mom6_src_files
MOM6/src/parameterizations/lateral/MOM_spherical_harmonics.F90
MOM6/src/parameterizations/lateral/MOM_thickness_diffuse.F90
MOM6/src/parameterizations/lateral/MOM_tidal_forcing.F90
MOM6/src/parameterizations/lateral/MOM_Zanna_Bolton.F90

MOM6/src/parameterizations/vertical/MOM_ALE_sponge.F90
MOM6/src/parameterizations/vertical/MOM_CVMix_KPP.F90
Expand Down
2 changes: 1 addition & 1 deletion modulefiles/ufs_cheyenne.gnu.lua
Original file line number Diff line number Diff line change
Expand Up @@ -22,7 +22,7 @@ load(pathJoin("ncarcompilers", ncarcompilers_ver))

unload("netcdf")

prepend_path("MODULEPATH", "/glade/work/epicufsrt/contrib/hpc-stack/gnu10.1.0/modulefiles/stack")
prepend_path("MODULEPATH", "/glade/work/epicufsrt/contrib/hpc-stack/gnu10.1.0_ncdf492/modulefiles/stack")

hpc_ver=os.getenv("hpc_ver") or "1.2.0"
load(pathJoin("hpc", hpc_ver))
Expand Down
2 changes: 1 addition & 1 deletion modulefiles/ufs_cheyenne.intel.lua
Original file line number Diff line number Diff line change
Expand Up @@ -23,7 +23,7 @@ load(pathJoin("ncarcompilers", ncarcompilers_ver))

unload("netcdf")

prepend_path("MODULEPATH", "/glade/work/epicufsrt/contrib/hpc-stack/intel2022.1/modulefiles/stack")
prepend_path("MODULEPATH", "/glade/work/epicufsrt/contrib/hpc-stack/intel2022.1_ncdf492/modulefiles/stack")

hpc_ver=os.getenv("hpc_ver") or "1.2.0"
load(pathJoin("hpc", hpc_ver))
Expand Down
12 changes: 6 additions & 6 deletions modulefiles/ufs_common.lua
Original file line number Diff line number Diff line change
Expand Up @@ -6,11 +6,11 @@ local ufs_modules = {
{["jasper"] = "2.0.25"},
{["zlib"] = "1.2.11"},
{["libpng"] = "1.6.37"},
{["hdf5"] = "1.10.6"},
{["netcdf"] = "4.7.4"},
{["pio"] = "2.5.7"},
{["esmf"] = "8.3.0b09"},
{["fms"] = "2022.04"},
{["hdf5"] = "1.14.0"},
{["netcdf"] = "4.9.2"},
{["pio"] = "2.5.10"},
{["esmf"] = "8.4.2"},
{["fms"] = "2023.01"},
{["bacio"] = "2.4.1"},
{["crtm"] = "2.4.0"},
{["g2"] = "3.4.5"},
Expand All @@ -19,7 +19,7 @@ local ufs_modules = {
{["sp"] = "2.3.3"},
{["w3emc"] = "2.9.2"},
{["gftl-shared"] = "v1.5.0"},
{["mapl"] = "2.22.0-esmf-8.3.0b09"},
{["mapl"] = "2.35.2-esmf-8.4.2"},
}

for i = 1, #ufs_modules do
Expand Down
2 changes: 1 addition & 1 deletion modulefiles/ufs_gaea.intel.lua
Original file line number Diff line number Diff line change
Expand Up @@ -7,7 +7,7 @@ whatis([===[Loads libraries needed for building the UFS Weather Model on Gaea ]=

load_any(pathJoin("cmake", os.getenv("cmake_ver") or "3.20.1"),"cmake")

prepend_path("MODULEPATH","/lustre/f2/dev/role.epic/contrib/hpc-stack/intel-classic-2022.0.2/modulefiles/stack")
prepend_path("MODULEPATH","/lustre/f2/dev/role.epic/contrib/hpc-stack/intel-classic-2022.0.2_ncdf492/modulefiles/stack")
load(pathJoin("hpc", os.getenv("hpc_ver") or "1.2.0"))

load(pathJoin("intel-classic", os.getenv("intel_classic_ver") or "2022.0.2"))
Expand Down
2 changes: 1 addition & 1 deletion modulefiles/ufs_hera.gnu.lua
Original file line number Diff line number Diff line change
Expand Up @@ -11,7 +11,7 @@ load(pathJoin("cmake", cmake_ver))
gnu_ver=os.getenv("gnu_ver") or "9.2.0"
load(pathJoin("gnu", gnu_ver))

prepend_path("MODULEPATH", "/scratch1/NCEPDEV/nems/role.epic/hpc-stack/libs/gnu-9.2/modulefiles/stack")
prepend_path("MODULEPATH", "/scratch1/NCEPDEV/nems/role.epic/hpc-stack/libs/gnu-9.2_ncdf492/modulefiles/stack")

hpc_ver=os.getenv("hpc_ver") or "1.2.0"
load(pathJoin("hpc", hpc_ver))
Expand Down
2 changes: 1 addition & 1 deletion modulefiles/ufs_hera.intel.lua
Original file line number Diff line number Diff line change
Expand Up @@ -14,7 +14,7 @@ load(pathJoin("intel", intel_ver))
impi_ver=os.getenv("impi_ver") or "2022.1.2"
load(pathJoin("impi", impi_ver))

prepend_path("MODULEPATH", "/scratch1/NCEPDEV/nems/role.epic/hpc-stack/libs/intel-2022.1.2/modulefiles/stack")
prepend_path("MODULEPATH", "/scratch1/NCEPDEV/nems/role.epic/hpc-stack/libs/intel-2022.1.2_ncdf492/modulefiles/stack")

hpc_ver=os.getenv("hpc_ver") or "1.2.0"
load(pathJoin("hpc", hpc_ver))
Expand Down
2 changes: 1 addition & 1 deletion modulefiles/ufs_jet.intel.lua
Original file line number Diff line number Diff line change
Expand Up @@ -8,7 +8,7 @@ load("sutils")
cmake_ver=os.getenv("cmake_ver") or "3.20.1"
load(pathJoin("cmake", cmake_ver))

prepend_path("MODULEPATH", "/mnt/lfs4/HFIP/hfv3gfs/role.epic/hpc-stack/libs/intel-2022.1.2/modulefiles/stack")
prepend_path("MODULEPATH", "/mnt/lfs4/HFIP/hfv3gfs/role.epic/hpc-stack/libs/intel-2022.1.2_ncdf492/modulefiles/stack")

hpc_ver=os.getenv("hpc_ver") or "1.2.0"
load(pathJoin("hpc", hpc_ver))
Expand Down
2 changes: 1 addition & 1 deletion modulefiles/ufs_orion.intel.lua
Original file line number Diff line number Diff line change
Expand Up @@ -8,7 +8,7 @@ load("noaatools")
cmake_ver=os.getenv("cmake_ver") or "3.22.1"
load(pathJoin("cmake", cmake_ver))

prepend_path("MODULEPATH", "/work/noaa/epic-ps/role-epic-ps/hpc-stack/libs/intel-2022.1.2/modulefiles/stack")
prepend_path("MODULEPATH", "/work/noaa/epic-ps/role-epic-ps/hpc-stack/libs/intel-2022.1.2_ncdf492/modulefiles/stack")

hpc_ver=os.getenv("hpc_ver") or "1.2.0"
load(pathJoin("hpc", hpc_ver))
Expand Down
63 changes: 4 additions & 59 deletions modulefiles/ufs_wcoss2.intel.lua
Original file line number Diff line number Diff line change
Expand Up @@ -12,71 +12,16 @@ load(pathJoin("intel", intel_ver))
craype_ver=os.getenv("craype_ver") or "2.7.13"
load(pathJoin("craype", craype_ver))

cray_mpich_ver=os.getenv("cray_mpich_ver") or "8.1.7"
cray_mpich_ver=os.getenv("cray_mpich_ver") or "8.1.12"
load(pathJoin("cray-mpich", cray_mpich_ver))

cmake_ver=os.getenv("cmake_ver") or "3.20.2"
load(pathJoin("cmake", cmake_ver))

jasper_ver=os.getenv("jasper_ver") or "2.0.25"
load(pathJoin("jasper", jasper_ver))
prepend_path("MODULEPATH", "/apps/test/hpc-stack/i-19.1.3.304__m-8.1.12__h-1.14.0__n-4.9.2__p-2.5.10__e-8.4.2/modulefiles/compiler/intel/19.1.3.304")
prepend_path("MODULEPATH", "/apps/test/hpc-stack/i-19.1.3.304__m-8.1.12__h-1.14.0__n-4.9.2__p-2.5.10__e-8.4.2/modulefiles/mpi/intel/19.1.3.304/cray-mpich/8.1.12")

zlib_ver=os.getenv("zlib_ver") or "1.2.11"
load(pathJoin("zlib", zlib_ver))

libpng_ver=os.getenv("libpng_ver") or "1.6.37"
load(pathJoin("libpng", libpng_ver))

hdf5_ver=os.getenv("hdf5_ver") or "1.10.6"
load(pathJoin("hdf5", hdf5_ver))

netcdf_ver=os.getenv("netcdf_ver") or "4.7.4"
load(pathJoin("netcdf", netcdf_ver))

fms_ver=os.getenv("fms_ver") or "2022.04"
load(pathJoin("fms", fms_ver))

bacio_ver=os.getenv("bacio_ver") or "2.4.1"
load(pathJoin("bacio", bacio_ver))

crtm_ver=os.getenv("crtm_ver") or "2.4.0"
load(pathJoin("crtm", crtm_ver))

g2_ver=os.getenv("g2_ver") or "3.4.5"
load(pathJoin("g2", g2_ver))

g2tmpl_ver=os.getenv("g2tmpl_ver") or "1.10.2"
load(pathJoin("g2tmpl", g2tmpl_ver))

ip_ver=os.getenv("ip_ver") or "3.3.3"
load(pathJoin("ip", ip_ver))

sp_ver=os.getenv("sp_ver") or "2.3.3"
load(pathJoin("sp", sp_ver))

w3emc_ver=os.getenv("w3emc_ver") or "2.9.2"
load(pathJoin("w3emc", w3emc_ver))

-- Second, look for libraries in "para"
setenv("HPC_OPT", "/apps/ops/para/libs")
prepend_path("MODULEPATH", "/apps/ops/para/libs/modulefiles/compiler/intel/19.1.3.304")
prepend_path("MODULEPATH", "/apps/ops/para/libs/modulefiles/mpi/intel/19.1.3.304/cray-mpich/8.1.7")

pio_ver=os.getenv("pio_ver") or "2.5.7"
load(pathJoin("pio", pio_ver))

-- Finally, look for libraries in "dev" space
prepend_path("MODULEPATH", "/apps/dev/lmodules/intel/19.1.3.304")
prepend_path("MODULEPATH", "/apps/dev/modulefiles/mpi/intel/19.1.3.304/cray-mpich/8.1.9")

gftl_shared_ver=os.getenv("gftl_shared_ver") or "1.5.0"
load(pathJoin("gftl_shared", gftl_shared_ver))

esmf_ver=os.getenv("esmf_ver") or "8.3.0b09"
load(pathJoin("esmf", esmf_ver))

mapl_ver=os.getenv("mapl_ver") or "2.23.1-esmf-8.3.0b09"
load(pathJoin("mapl", mapl_ver))
load("ufs_common")

setenv("CC", "cc")
setenv("CXX", "CC")
Expand Down
2 changes: 1 addition & 1 deletion tests/auto-jenkins/jobs/bl.py
Original file line number Diff line number Diff line change
Expand Up @@ -20,7 +20,7 @@ def set_directories(job_obj):
logger = logging.getLogger('BL/SET_DIRECTORIES')
if job_obj.machine == 'hera':
workdir = '/scratch1/NCEPDEV/nems/emc.nemspara/autort/pr'
blstore = '/scratch1/NCEPDEV/nems/emc.nemspara/RT/NEMSfv3gfs'
blstore = '/scratch2/NAGAPE/epic/UFS-WM_RT/NEMSfv3gfs'
rtbldir = '/scratch1/NCEPDEV/stmp4/emc.nemspara/FV3_RT/'\
f'REGRESSION_TEST'
elif job_obj.machine == 'jet':
Expand Down
2 changes: 1 addition & 1 deletion tests/bl_date.conf
Original file line number Diff line number Diff line change
@@ -1,2 +1,2 @@
export BL_DATE=20230720
export BL_DATE=20230816

2 changes: 1 addition & 1 deletion tests/bl_date.ncar.conf
Original file line number Diff line number Diff line change
@@ -1,2 +1,2 @@
export BL_DATE=20231031
export BL_DATE=20240110

2 changes: 1 addition & 1 deletion tests/ci/Dockerfile
Original file line number Diff line number Diff line change
@@ -1,4 +1,4 @@
From noaaepic/ubuntu20.04-gnu9.3-hpc-stack:v1.2
From noaaepic/ubuntu20.04-gnu9.3-hpc-stack:v2.0

CMD ["/bin/bash"]

Expand Down
25 changes: 0 additions & 25 deletions tests/compare_ncfile.py

This file was deleted.

Loading

0 comments on commit 4f76537

Please sign in to comment.