Skip to content

Commit

Permalink
hdl: Update changelog, set version to v0.8.0
Browse files Browse the repository at this point in the history
  • Loading branch information
bglod authored and rtucker committed Sep 6, 2018
1 parent 5e808b8 commit fd59540
Show file tree
Hide file tree
Showing 3 changed files with 10 additions and 4 deletions.
6 changes: 6 additions & 0 deletions hdl/CHANGELOG
Expand Up @@ -5,6 +5,12 @@ For more detailed information, please see the git change log and issue tracker
hosted on GitHub: https://github.com/nuand/bladeRF
================================================================================

--------------------------------
v0.8.0 (2018-09-05)
--------------------------------
* bladeRF: Fix nios_gpio assignment overlap for AGC_EN
* bladeRF: Fix bug in AGC band selection

--------------------------------
v0.7.3 (2018-08-07)
--------------------------------
Expand Down
Expand Up @@ -7,8 +7,8 @@

#define FPGA_VERSION_ID 0x7777
#define FPGA_VERSION_MAJOR 0
#define FPGA_VERSION_MINOR 7
#define FPGA_VERSION_PATCH 3
#define FPGA_VERSION_MINOR 8
#define FPGA_VERSION_PATCH 0
#define FPGA_VERSION ((uint32_t)( FPGA_VERSION_MAJOR | \
(FPGA_VERSION_MINOR << 8) | \
(FPGA_VERSION_PATCH << 16) ) )
Expand Down
Expand Up @@ -7,8 +7,8 @@

#define FPGA_VERSION_ID 0x7777
#define FPGA_VERSION_MAJOR 0
#define FPGA_VERSION_MINOR 7
#define FPGA_VERSION_PATCH 3
#define FPGA_VERSION_MINOR 8
#define FPGA_VERSION_PATCH 0
#define FPGA_VERSION ((uint32_t)( FPGA_VERSION_MAJOR | \
(FPGA_VERSION_MINOR << 8) | \
(FPGA_VERSION_PATCH << 16) ) )
Expand Down

0 comments on commit fd59540

Please sign in to comment.