Skip to content

Commit

Permalink
Remove the clearing of A and B settings of the mRO
Browse files Browse the repository at this point in the history
  • Loading branch information
herve.echelard committed Jul 27, 2022
1 parent 749a4af commit ef259df
Show file tree
Hide file tree
Showing 9 changed files with 29 additions and 29 deletions.
2 changes: 1 addition & 1 deletion Firmware/hdl/art_card_Top.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -226,7 +226,7 @@ architecture rtl of art_card_Top is
);
end component art_card_pd;

constant CST_FIRMWARE_VERSION: std_logic_vector(31 downto 0) := x"0000000E";
constant CST_FIRMWARE_VERSION: std_logic_vector(31 downto 0) := x"0000000F";

signal eeprom_sda_out: std_logic;
signal eeprom_scl_out: std_logic;
Expand Down
2 changes: 1 addition & 1 deletion Firmware/quartus/gold_number_art_card.hex
Original file line number Diff line number Diff line change
Expand Up @@ -10,7 +10,7 @@
:0100090030C6
:01000A0030C5
:01000B0030C4
:01000C0045AE
:01000C0046AD
:01000D0000F2
:01000E0000F1
:01000F0000F0
Expand Down
54 changes: 27 additions & 27 deletions Firmware/quartus/ip/mRO50/mro50.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -419,37 +419,37 @@ begin
Sending_State <= SENDING_DATA;
-- automatic read of status
elsif (tick_loop = '1') and (running_mro = '1') then
case preinit_cnt is
when "000" =>
preinit_cnt <= "001";
expected_resp <= CST_RESP_00B;
ptr_Rom <= CST_PTR_SET_A0;
treat <= CST_TREAT_READ_NOTHING;
Sending_State <= SENDING_DATA;
when "001" =>
preinit_cnt <= "010";
expected_resp <= CST_RESP_00B;
ptr_Rom <= CST_PTR_SET_B0;
treat <= CST_TREAT_READ_NOTHING;
Sending_State <= SENDING_DATA;
when "010" =>
preinit_cnt <= "011";
expected_resp <= CST_RESP_08B;
ptr_Rom <= CST_PTR_READ_A;
treat <= CST_TREAT_READ_A;
Sending_State <= SENDING_DATA;
when "011" =>
preinit_cnt <= "100";
expected_resp <= CST_RESP_08B;
ptr_Rom <= CST_PTR_READ_B;
treat <= CST_TREAT_READ_B;
Sending_State <= SENDING_DATA;
when others =>
-- case preinit_cnt is
-- when "000" =>
-- preinit_cnt <= "001";
-- expected_resp <= CST_RESP_00B;
-- ptr_Rom <= CST_PTR_SET_A0;
-- treat <= CST_TREAT_READ_NOTHING;
-- Sending_State <= SENDING_DATA;
-- when "001" =>
-- preinit_cnt <= "010";
-- expected_resp <= CST_RESP_00B;
-- ptr_Rom <= CST_PTR_SET_B0;
-- treat <= CST_TREAT_READ_NOTHING;
-- Sending_State <= SENDING_DATA;
-- when "010" =>
-- preinit_cnt <= "011";
-- expected_resp <= CST_RESP_08B;
-- ptr_Rom <= CST_PTR_READ_A;
-- treat <= CST_TREAT_READ_A;
-- Sending_State <= SENDING_DATA;
-- when "011" =>
-- preinit_cnt <= "100";
-- expected_resp <= CST_RESP_08B;
-- ptr_Rom <= CST_PTR_READ_B;
-- treat <= CST_TREAT_READ_B;
-- Sending_State <= SENDING_DATA;
-- when others =>
expected_resp <= CST_RESP_60B;
ptr_Rom <= CST_PTR_READ_STATUS;
treat <= CST_TREAT_READ_STATUS;
Sending_State <= SENDING_DATA;
end case;
-- end case;
end if;
end if;
when SENDING_DATA =>
Expand Down
Binary file modified Firmware/quartus/output_files/art_card.sof
Binary file not shown.
Binary file modified Firmware/quartus/output_files/art_card_factory.sof
Binary file not shown.
Binary file not shown.
Binary file removed Firmware/quartus/output_files/art_card_v14_usb.zip
Binary file not shown.
Binary file not shown.
Binary file not shown.

0 comments on commit ef259df

Please sign in to comment.