Skip to content

feature: add Jtag VPI support for sim #174

feature: add Jtag VPI support for sim

feature: add Jtag VPI support for sim #174

Triggered via pull request April 22, 2023 14:13
Status Success
Total duration 57m 18s
Artifacts
This run and associated checks have been archived and are scheduled for deletion. Learn more about checks retention

run-tests.yml

on: pull_request
Fit to window
Zoom out
Zoom in