Skip to content

Generate diagrams based on SpinalHDL code #105

Generate diagrams based on SpinalHDL code

Generate diagrams based on SpinalHDL code #105

Re-run triggered April 25, 2023 13:36
Status Success
Total duration 1m 19s
Artifacts
This run and associated checks have been archived and are scheduled for deletion. Learn more about checks retention

scalafmt.yml

on: pull_request
Fit to window
Zoom out
Zoom in

Annotations

10 warnings
fmt-lint: lib/src/main/scala/spinal/lib/tools/HDElkDiagramGen.scala#L71
+ parentList.nonEmpty && parentList.size > 1 && parentList.last.getClass.getSimpleName == "" && !parentList.last
fmt-lint: lib/src/main/scala/spinal/lib/tools/HDElkDiagramGen.scala#L81
+class ModuleDataStructure(module: Component, clkMap: mutable.HashMap[ClockDomain, Int]) {
fmt-lint: lib/src/main/scala/spinal/lib/tools/HDElkDiagramGen.scala#L106
+class GenNodesAndEdges(module: Component, moduleName: String, clkMap: mutable.HashMap[ClockDomain, Int]) {
fmt-lint: lib/src/main/scala/spinal/lib/tools/HDElkDiagramGen.scala#L119
+ private val systemRegisters = moduleAnalyze.getNets(net =>
fmt-lint: lib/src/main/scala/spinal/lib/tools/HDElkDiagramGen.scala#L120
+ net.getComponent().getName() == module.getName() && !topInOuts.contains(net) && !allRegisters.contains(net)