Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Add ability to show name of Basemap in attribution #6469

Open
AnaBelgun opened this issue Aug 2, 2022 · 7 comments
Open

Add ability to show name of Basemap in attribution #6469

AnaBelgun opened this issue Aug 2, 2022 · 7 comments

Comments

@AnaBelgun
Copy link
Member

AnaBelgun commented Aug 2, 2022

Currently the Basemap attribution doesn't show the name of the Basemap for which that attribution belongs to. This creates confusion on data displayed and who is attributed to and why.
The plan is to show the same name in the Basemap link at the bottom of landing page as the one shown in Map settings when hoovering over the selection of Basemaps.

New title when opening Basemap attribution link:
"Data for Basemap [insert name[ provided by:"

image

image

@zoran995
Copy link
Contributor

zoran995 commented Aug 2, 2022

Won't this change cause more confusion? Data attribution for long time is not only for base maps but for all data available on the map.
Also, if I recall correctly, when there are multiple attributions for same data provider (multiple datasets) they will be grouped and then sorted by number of appearances.

An idea see if we have a possibility to access original catalog item from credits list and add item names next to provider. And change the text in bottom line to Data credits or something similar since Data attribution is already taken or merge those two into one (current url can go to the bottom of modal)

@AnaBelgun
Copy link
Member Author

AnaBelgun commented Aug 2, 2022

Thanks @zoran995 there is a specific Data attribution section/link at the bottom of the screen for non-basemap data
image

The basemap attribution includes links and titles of products which are are used in the making of that basemap and the confusion lies in users assuming they access/visualise a Carto/Open Street Map/Tom Tom etc product directly.

@staffordsmith83
Copy link
Contributor

Is this OK @AnaBelgun and @philipgrimmett ?

image

Dear @zoran995 I havent been able to replicate where non basemap datasets are added to this DataAttributionModal, but I know you did some much appreciated work on this lately so let me know if Im missing something!

@zoran995
Copy link
Contributor

zoran995 commented Aug 2, 2022

Since #5167 all data that has attribution trait defined should have attribution value listed in the Data attribution (basemap) modal (it might happen that some of the attribution is not connected to cesium credit for some datasets).
Also Cesium has internal ability to determine credits for common datasets and that is where the data attribution come (I think ESRI, Cesium ION by default have dataset credit listed), and those datasets credits where listed there even before #5167
This is default credit for bing maps aerial
image

But when we add Surface geology to the map, it will also show © Commonwealth of Australia ... in the list
image

share url
http://ci.terria.io/main/#share=s-NKaIo5aD559KCoaf8y8t4OPGCl

@staffordsmith83
Copy link
Contributor

staffordsmith83 commented Aug 2, 2022

Ah I see, so now my change would result in an error..
image

Perhaps this button should not be called 'Basemap' then. What to do @AnaBelgun, to keep everyone happy?
image

@AnaBelgun
Copy link
Member Author

Data attribution is now pointing to a different page and base maps follow what Zoran described.
Let's not make any changes atm and think about it a bit more.

@KerriG1
Copy link

KerriG1 commented Aug 3, 2022

Agreed @AnaBelgun - the behaviour is more complex than original thought. Happy to descope this activity.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Projects
None yet
Development

No branches or pull requests

4 participants