Skip to content

Commit

Permalink
working ibex mock-alu
Browse files Browse the repository at this point in the history
Signed-off-by: Jack Luar <39641663+luarss@users.noreply.github.com>
  • Loading branch information
luarss committed May 19, 2024
1 parent 64bb400 commit 11953fc
Show file tree
Hide file tree
Showing 2 changed files with 46 additions and 14 deletions.
32 changes: 24 additions & 8 deletions flow/designs/asap7/ibex/autotuner.json
Original file line number Diff line number Diff line change
Expand Up @@ -3,24 +3,24 @@
"_SDC_CLK_PERIOD": {
"type": "float",
"minmax": [
1700,
1800,
2000
],
"step": 0
},
"CORE_UTILIZATION": {
"type": "int",
"minmax": [
25,
50
5,
10
],
"step": 1
},
"CORE_ASPECT_RATIO": {
"type": "float",
"minmax": [
0.5,
2.0
0.9,
1.1
],
"step": 0
},
Expand All @@ -35,27 +35,43 @@
"CELL_PAD_IN_SITES_GLOBAL_PLACEMENT": {
"type": "int",
"minmax": [
0,
3,
5
],
"step": 1
},
"CELL_PAD_IN_SITES_DETAIL_PLACEMENT": {
"type": "int",
"minmax": [
0,
3,
5
],
"step": 1
},
"_FR_LAYER_ADJUST": {
"type": "float",
"minmax": [
0.0,
0.1
],
"step": 0
},
"PLACE_DENSITY_LB_ADDON": {
"type": "float",
"minmax": [
0.0,
0.99
0.1
],
"step": 0
},
"_PINS_DISTANCE": {
"type": "int",
"minmax": [
1,
1
],
"step": 1
},
"CTS_CLUSTER_SIZE": {
"type": "int",
"minmax": [
Expand Down
28 changes: 22 additions & 6 deletions flow/designs/asap7/mock-alu/autotuner.json
Original file line number Diff line number Diff line change
Expand Up @@ -12,15 +12,15 @@
"type": "int",
"minmax": [
5,
50
40
],
"step": 1
},
"CORE_ASPECT_RATIO": {
"type": "float",
"minmax": [
0.5,
2.0
0.9,
1.1
],
"step": 0
},
Expand All @@ -35,27 +35,43 @@
"CELL_PAD_IN_SITES_GLOBAL_PLACEMENT": {
"type": "int",
"minmax": [
0,
1,
5
],
"step": 1
},
"CELL_PAD_IN_SITES_DETAIL_PLACEMENT": {
"type": "int",
"minmax": [
0,
1,
5
],
"step": 1
},
"_FR_LAYER_ADJUST": {
"type": "float",
"minmax": [
0.0,
0.1
],
"step": 0
},
"PLACE_DENSITY_LB_ADDON": {
"type": "float",
"minmax": [
0.0,
0.99
0.1
],
"step": 0
},
"_PINS_DISTANCE": {
"type": "int",
"minmax": [
1,
1
],
"step": 1
},
"CTS_CLUSTER_SIZE": {
"type": "int",
"minmax": [
Expand Down

0 comments on commit 11953fc

Please sign in to comment.