Skip to content

Commit

Permalink
Merge pull request #2009 from The-OpenROAD-Project-staging/test-zero-pad
Browse files Browse the repository at this point in the history
Set zero padding in all the public PDKs
  • Loading branch information
maliberty committed May 15, 2024
2 parents aa026d3 + 3b6a736 commit 4ea3a03
Show file tree
Hide file tree
Showing 12 changed files with 426 additions and 596 deletions.
429 changes: 179 additions & 250 deletions flow/designs/asap7/aes-block/metadata-base-ok.json

Large diffs are not rendered by default.

6 changes: 3 additions & 3 deletions flow/designs/asap7/aes-block/rules-base.json
Original file line number Diff line number Diff line change
Expand Up @@ -24,19 +24,19 @@
"compare": "<="
},
"cts__design__instance__count__hold_buffer": {
"value": 1309,
"value": 1491,
"compare": "<="
},
"detailedroute__route__wirelength": {
"value": 82822,
"value": 80385,
"compare": "<="
},
"detailedroute__route__drc_errors": {
"value": 0,
"compare": "<="
},
"finish__timing__setup__ws": {
"value": -338.86,
"value": -311.26,
"compare": ">="
},
"finish__design__instance__area": {
Expand Down
4 changes: 2 additions & 2 deletions flow/designs/asap7/swerv_wrapper/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -23,8 +23,8 @@ export PLACE_PINS_ARGS = -exclude left:* -exclude right:*
export PLACE_DENSITY_LB_ADDON = 0.20
export CTS_BUF_CELL = BUFx8_ASAP7_75t_R

export CELL_PAD_IN_SITES_GLOBAL_PLACEMENT = 3
export CELL_PAD_IN_SITES_DETAIL_PLACEMENT = 2
export CELL_PAD_IN_SITES_GLOBAL_PLACEMENT = 0
export CELL_PAD_IN_SITES_DETAIL_PLACEMENT = 0

export FASTROUTE_TCL = ./designs/$(PLATFORM)/swerv_wrapper/fastroute.tcl

Expand Down
553 changes: 227 additions & 326 deletions flow/designs/gf180/ibex/metadata-base-ok.json

Large diffs are not rendered by default.

8 changes: 4 additions & 4 deletions flow/designs/gf180/ibex/rules-base.json
Original file line number Diff line number Diff line change
Expand Up @@ -36,23 +36,23 @@
"compare": "<="
},
"finish__timing__setup__ws": {
"value": -3.85,
"value": -2.56,
"compare": ">="
},
"finish__design__instance__area": {
"value": 774172,
"compare": "<="
},
"finish__timing__drv__setup_violation_count": {
"value": 916,
"value": 750,
"compare": "<="
},
"finish__timing__drv__hold_violation_count": {
"value": 100,
"value": 261,
"compare": "<="
},
"finish__timing__wns_percent_delay": {
"value": -29.52,
"value": -25.78,
"compare": ">="
}
}
4 changes: 2 additions & 2 deletions flow/platforms/asap7/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -113,8 +113,8 @@ export MACRO_HALO_X ?= 2
export MACRO_HALO_Y ?= 2

# Cell padding in SITE widths to ease rout-ability. Applied to both sides
export CELL_PAD_IN_SITES_GLOBAL_PLACEMENT ?= 2
export CELL_PAD_IN_SITES_DETAIL_PLACEMENT ?= 1
export CELL_PAD_IN_SITES_GLOBAL_PLACEMENT ?= 0
export CELL_PAD_IN_SITES_DETAIL_PLACEMENT ?= 0

export PLACE_DENSITY ?= 0.60

Expand Down
4 changes: 2 additions & 2 deletions flow/platforms/gf180/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -85,8 +85,8 @@ export MACRO_PLACE_CHANNEL ?= 20.16 20.16
# Place
#--------------------------------------------------------
# Cell padding in SITE widths to ease rout-ability. Applied to both sides
export CELL_PAD_IN_SITES_GLOBAL_PLACEMENT ?= 2
export CELL_PAD_IN_SITES_DETAIL_PLACEMENT ?= 1
export CELL_PAD_IN_SITES_GLOBAL_PLACEMENT ?= 0
export CELL_PAD_IN_SITES_DETAIL_PLACEMENT ?= 0

# global placement density
export PLACE_DENSITY ?= 0.40
Expand Down
4 changes: 2 additions & 2 deletions flow/platforms/ihp-sg13g2/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -74,8 +74,8 @@ export MACRO_PLACE_CHANNEL ?= 80 80
# Place
# --------------------------------------------------------
# default cell padding for cells
export CELL_PAD_IN_SITES_GLOBAL_PLACEMENT ?= 2
export CELL_PAD_IN_SITES_DETAIL_PLACEMENT ?= 1
export CELL_PAD_IN_SITES_GLOBAL_PLACEMENT ?= 0
export CELL_PAD_IN_SITES_DETAIL_PLACEMENT ?= 0
export PLACE_DENSITY ?= 0.65
# --------------------------------------------------------
# CTS
Expand Down
2 changes: 1 addition & 1 deletion flow/platforms/sky130hd/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -102,7 +102,7 @@ export MACRO_PLACE_CHANNEL ?= 80 80
# Place
# --------------------------------------------------------
# default cell padding for cells
export CELL_PAD_IN_SITES_GLOBAL_PLACEMENT ?= 1
export CELL_PAD_IN_SITES_GLOBAL_PLACEMENT ?= 0
export CELL_PAD_IN_SITES_DETAIL_PLACEMENT ?= 0

export PLACE_DENSITY ?= 0.60
Expand Down
4 changes: 2 additions & 2 deletions flow/platforms/sky130hd_fakestack/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -103,14 +103,14 @@ export MACRO_PLACE_CHANNEL ?= 80 80
# Place
# --------------------------------------------------------
# default cell padding for cells
export CELL_PAD_IN_SITES_GLOBAL_PLACEMENT ?= 1
export CELL_PAD_IN_SITES_GLOBAL_PLACEMENT ?= 0
export CELL_PAD_IN_SITES_DETAIL_PLACEMENT ?= 0
#

export PLACE_DENSITY ?= 0.60

# Cell padding in SITE widths to ease rout-ability
export CELL_PAD_IN_SITES ?= 4
export CELL_PAD_IN_SITES ?= 0
#
# --------------------------------------------------------
# CTS
Expand Down
2 changes: 1 addition & 1 deletion flow/platforms/sky130hs/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -65,7 +65,7 @@ export MACRO_PLACE_CHANNEL ?= 80 80
# --------------------------------------------------------
# Cell padding in SITE widths to ease rout-ability
#
export CELL_PAD_IN_SITES_GLOBAL_PLACEMENT ?= 1
export CELL_PAD_IN_SITES_GLOBAL_PLACEMENT ?= 0
export CELL_PAD_IN_SITES_DETAIL_PLACEMENT ?= 0
#

Expand Down

0 comments on commit 4ea3a03

Please sign in to comment.