Skip to content

Commit

Permalink
Merge pull request #1926 from The-OpenROAD-Project-staging/secure-flo…
Browse files Browse the repository at this point in the history
…w-abc9

Kogge-Stone adder + updated delay optimization script using mostly ABC9 commands
  • Loading branch information
maliberty committed Apr 18, 2024
2 parents 1c47249 + b91499d commit a006172
Show file tree
Hide file tree
Showing 39 changed files with 4,861 additions and 4,629 deletions.
2 changes: 1 addition & 1 deletion flow/Makefile
Original file line number Diff line number Diff line change
Expand Up @@ -190,7 +190,7 @@ export RESYNTH_TIMING_RECOVER ?= 0
export ABC_AREA ?= 0

# Global setting for Synthesis
export SYNTH_ARGS ?= -flatten
export SYNTH_ARGS ?= -flatten -extra-map $(DESIGN_HOME)/src/lcu/lcu_kogge_stone.v

# Global setting for Floorplan
export PLACE_PINS_ARGS
Expand Down
546 changes: 273 additions & 273 deletions flow/designs/asap7/aes-block/metadata-base-ok.json

Large diffs are not rendered by default.

8 changes: 4 additions & 4 deletions flow/designs/asap7/aes-block/rules-base.json
Original file line number Diff line number Diff line change
Expand Up @@ -24,19 +24,19 @@
"compare": "<="
},
"cts__design__instance__count__hold_buffer": {
"value": 990,
"value": 1309,
"compare": "<="
},
"detailedroute__route__wirelength": {
"value": 71123,
"value": 82822,
"compare": "<="
},
"detailedroute__route__drc_errors": {
"value": 0,
"compare": "<="
},
"finish__timing__setup__ws": {
"value": -247.66,
"value": -338.86,
"compare": ">="
},
"finish__design__instance__area": {
Expand All @@ -48,7 +48,7 @@
"compare": "<="
},
"finish__timing__drv__hold_violation_count": {
"value": 322,
"value": 266,
"compare": "<="
},
"finish__timing__wns_percent_delay": {
Expand Down
2 changes: 1 addition & 1 deletion flow/designs/asap7/aes_lvt/metadata-base-ok.json
Original file line number Diff line number Diff line change
Expand Up @@ -378,4 +378,4 @@
"synth__mem__peak": 326324.0,
"synth__runtime__total": "0:46.78",
"total_time": "0:12:25.070000"
}
}
2 changes: 1 addition & 1 deletion flow/designs/asap7/aes_lvt/rules-base.json
Original file line number Diff line number Diff line change
Expand Up @@ -55,4 +55,4 @@
"value": -37.32,
"compare": ">="
}
}
}
486 changes: 243 additions & 243 deletions flow/designs/asap7/gcd/metadata-base-ok.json

Large diffs are not rendered by default.

10 changes: 5 additions & 5 deletions flow/designs/asap7/gcd/rules-base.json
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
{
"synth__design__instance__area__stdcell": {
"value": 43.85,
"value": 43.75,
"compare": "<="
},
"constraints__clocks__count": {
Expand All @@ -20,31 +20,31 @@
"compare": "=="
},
"cts__design__instance__count__setup_buffer": {
"value": 44,
"value": 52,
"compare": "<="
},
"cts__design__instance__count__hold_buffer": {
"value": 44,
"compare": "<="
},
"detailedroute__route__wirelength": {
"value": 1412,
"value": 1355,
"compare": "<="
},
"detailedroute__route__drc_errors": {
"value": 0,
"compare": "<="
},
"finish__timing__setup__ws": {
"value": -55.02,
"value": -28.86,
"compare": ">="
},
"finish__design__instance__area": {
"value": 54,
"compare": "<="
},
"finish__timing__drv__setup_violation_count": {
"value": 25,
"value": 22,
"compare": "<="
},
"finish__timing__drv__hold_violation_count": {
Expand Down
Loading

0 comments on commit a006172

Please sign in to comment.