Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

[Autotuner] Working params for asap7 #1921

Merged
merged 15 commits into from
May 29, 2024
26 changes: 5 additions & 21 deletions flow/designs/asap7/aes-block/autotuner.json
Original file line number Diff line number Diff line change
Expand Up @@ -11,8 +11,8 @@
"CORE_UTILIZATION": {
"type": "int",
"minmax": [
30,
100
0,
20
],
"step": 1
},
Expand Down Expand Up @@ -52,10 +52,10 @@
"type": "float",
"minmax": [
0.1,
0.7
0.3
],
"step": 0
},
},
"PLACE_DENSITY_LB_ADDON": {
"type": "float",
"minmax": [
Expand All @@ -64,14 +64,6 @@
],
"step": 0
},
"_PINS_DISTANCE": {
"type": "int",
"minmax": [
1,
4
],
"step": 1
},
"CTS_CLUSTER_SIZE": {
"type": "int",
"minmax": [
Expand All @@ -88,13 +80,5 @@
],
"step": 1
},
"_FR_FILE_PATH": "",
"_FR_GR_OVERFLOW": {
"type": "int",
"minmax": [
1,
1
],
"step": 0
}
"_FR_FILE_PATH": ""
}
36 changes: 14 additions & 22 deletions flow/designs/asap7/aes/autotuner.json
Original file line number Diff line number Diff line change
Expand Up @@ -3,24 +3,24 @@
"_SDC_CLK_PERIOD": {
"type": "float",
"minmax": [
100,
600
300,
400
],
"step": 0
},
"CORE_UTILIZATION": {
"type": "int",
"minmax": [
30,
100
0,
5
],
"step": 1
},
"CORE_ASPECT_RATIO": {
"type": "float",
"minmax": [
0.5,
2.0
0.9,
1.1
],
"step": 0
},
Expand All @@ -35,44 +35,44 @@
"CELL_PAD_IN_SITES_GLOBAL_PLACEMENT": {
"type": "int",
"minmax": [
0,
1,
5
],
"step": 1
},
"CELL_PAD_IN_SITES_DETAIL_PLACEMENT": {
"type": "int",
"minmax": [
0,
1,
5
],
"step": 1
},
"_FR_LAYER_ADJUST": {
"type": "float",
"minmax": [
0.1,
0.7
0.0,
0.1
],
"step": 0
},
"PLACE_DENSITY_LB_ADDON": {
"type": "float",
"minmax": [
0.0,
0.99
0.1
],
"step": 0
},
"_PINS_DISTANCE": {
"type": "int",
"minmax": [
1,
4
1
],
"step": 1
},
"CTS_CLUSTER_SIZE": {
"CTS_CLUSTER_SIZE": {
"type": "int",
"minmax": [
10,
Expand All @@ -88,13 +88,5 @@
],
"step": 1
},
"_FR_FILE_PATH": "",
"_FR_GR_OVERFLOW": {
"type": "int",
"minmax": [
1,
1
],
"step": 0
}
"_FR_FILE_PATH": ""
}
52 changes: 46 additions & 6 deletions flow/designs/asap7/gcd/autotuner.json
Original file line number Diff line number Diff line change
Expand Up @@ -24,6 +24,38 @@
],
"step": 0
},
"CORE_MARGIN": {
"type": "int",
"minmax": [
2,
2
],
"step": 0
},
"CELL_PAD_IN_SITES_GLOBAL_PLACEMENT": {
"type": "int",
"minmax": [
0,
5
],
"step": 1
},
"CELL_PAD_IN_SITES_DETAIL_PLACEMENT": {
"type": "int",
"minmax": [
0,
5
],
"step": 1
},
"_FR_LAYER_ADJUST": {
"type": "float",
"minmax": [
0.1,
0.3
],
"step": 0
},
"PLACE_DENSITY_LB_ADDON": {
"type": "float",
"minmax": [
Expand All @@ -32,13 +64,21 @@
],
"step": 0
},
"_FR_FILE_PATH": "",
"_FR_GR_OVERFLOW": {
"CTS_CLUSTER_SIZE": {
"type": "int",
"minmax": [
1,
1
10,
200
],
"step": 0
}
"step": 1
},
"CTS_CLUSTER_DIAMETER": {
"type": "int",
"minmax": [
20,
400
],
"step": 1
},
"_FR_FILE_PATH": ""
}
32 changes: 12 additions & 20 deletions flow/designs/asap7/ibex/autotuner.json
Original file line number Diff line number Diff line change
Expand Up @@ -3,24 +3,24 @@
"_SDC_CLK_PERIOD": {
"type": "float",
"minmax": [
100,
1800,
2000
],
"step": 0
},
"CORE_UTILIZATION": {
"type": "int",
"minmax": [
25,
100
5,
10
],
"step": 1
},
"CORE_ASPECT_RATIO": {
"type": "float",
"minmax": [
0.5,
2.0
0.9,
1.1
],
"step": 0
},
Expand All @@ -35,32 +35,32 @@
"CELL_PAD_IN_SITES_GLOBAL_PLACEMENT": {
"type": "int",
"minmax": [
0,
3,
5
],
"step": 1
},
"CELL_PAD_IN_SITES_DETAIL_PLACEMENT": {
"type": "int",
"minmax": [
0,
3,
5
],
"step": 1
},
"_FR_LAYER_ADJUST": {
"type": "float",
"minmax": [
0.1,
0.7
0.0,
0.1
],
"step": 0
},
"PLACE_DENSITY_LB_ADDON": {
"type": "float",
"minmax": [
0.0,
0.99
0.1
],
"step": 0
},
Expand All @@ -70,7 +70,7 @@
1,
1
],
"step": 0
"step": 1
},
"CTS_CLUSTER_SIZE": {
"type": "int",
Expand All @@ -88,13 +88,5 @@
],
"step": 1
},
"_FR_FILE_PATH": "",
"_FR_GR_OVERFLOW": {
"type": "int",
"minmax": [
1,
1
],
"step": 0
}
"_FR_FILE_PATH": ""
}
46 changes: 15 additions & 31 deletions flow/designs/asap7/jpeg/autotuner.json
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
{
"_SDC_FILE_PATH": "constraint.sdc",
"_SDC_FILE_PATH": "jpeg_encoder15_7nm.sdc",
"_SDC_CLK_PERIOD": {
"type": "float",
"minmax": [
Expand All @@ -11,19 +11,11 @@
"CORE_UTILIZATION": {
"type": "int",
"minmax": [
30,
100
0,
20
],
"step": 1
},
"CORE_ASPECT_RATIO": {
"type": "float",
"minmax": [
0.5,
2.0
],
"step": 0
},
"CORE_MARGIN": {
"type": "int",
"minmax": [
Expand All @@ -32,6 +24,14 @@
],
"step": 0
},
"CORE_ASPECT_RATIO": {
"type": "float",
"minmax": [
0.9,
1.1
],
"step": 0
},
"CELL_PAD_IN_SITES_GLOBAL_PLACEMENT": {
"type": "int",
"minmax": [
Expand All @@ -52,26 +52,18 @@
"type": "float",
"minmax": [
0.1,
0.7
0.3
],
"step": 0
},
},
"PLACE_DENSITY_LB_ADDON": {
"type": "float",
"minmax": [
0.0,
0.99
0.6
],
"step": 0
},
"_PINS_DISTANCE": {
"type": "int",
"minmax": [
1,
4
],
"step": 1
},
"CTS_CLUSTER_SIZE": {
"type": "int",
"minmax": [
Expand All @@ -88,13 +80,5 @@
],
"step": 1
},
"_FR_FILE_PATH": "",
"_FR_GR_OVERFLOW": {
"type": "int",
"minmax": [
1,
1
],
"step": 0
}
"_FR_FILE_PATH": ""
}
Loading
Loading