Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

ppl interactive tweaking #1997

Merged
Show file tree
Hide file tree
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Jump to
Jump to file
Failed to load files.
Diff view
Diff view
11 changes: 1 addition & 10 deletions flow/scripts/io_placement.tcl
Original file line number Diff line number Diff line change
@@ -1,15 +1,6 @@
source $::env(SCRIPTS_DIR)/load.tcl
load_design 3_1_place_gp_skip_io.odb 2_floorplan.sdc

if {[info exists ::env(FLOORPLAN_DEF)]} {
puts "Skipping IO placement as DEF file was used to initialize floorplan."
} else {
if {[info exists ::env(IO_CONSTRAINTS)]} {
source $::env(IO_CONSTRAINTS)
}
place_pins -hor_layer $::env(IO_PLACER_H) \
-ver_layer $::env(IO_PLACER_V) \
{*}$::env(PLACE_PINS_ARGS)
}
source $::env(SCRIPTS_DIR)/io_placement_util.tcl

write_db $::env(RESULTS_DIR)/3_2_place_iop.odb
14 changes: 3 additions & 11 deletions flow/scripts/io_placement_random.tcl
Original file line number Diff line number Diff line change
@@ -1,16 +1,8 @@
source $::env(SCRIPTS_DIR)/load.tcl
load_design 2_1_floorplan.odb 1_synth.sdc

if {[info exists ::env(FLOORPLAN_DEF)]} {
puts "Skipping IO placement as DEF file was used to initialize floorplan."
} else {
if {[info exists ::env(IO_CONSTRAINTS)]} {
source $::env(IO_CONSTRAINTS)
}
place_pins -hor_layer $::env(IO_PLACER_H) \
-ver_layer $::env(IO_PLACER_V) \
-random \
{*}$::env(PLACE_PINS_ARGS)
}
lappend ::env(PLACE_PINS_ARGS) -random

source $::env(SCRIPTS_DIR)/io_placement_util.tcl

write_db $::env(RESULTS_DIR)/2_2_floorplan_io.odb
12 changes: 12 additions & 0 deletions flow/scripts/io_placement_util.tcl
Original file line number Diff line number Diff line change
@@ -0,0 +1,12 @@
if {[info exists ::env(FLOORPLAN_DEF)]} {
puts "Skipping IO placement as DEF file was used to initialize floorplan."
} else {
if {[info exists ::env(IO_CONSTRAINTS)]} {
source $::env(IO_CONSTRAINTS)
}
set args [list -hor_layer $::env(IO_PLACER_H) \
-ver_layer $::env(IO_PLACER_V) \
{*}$::env(PLACE_PINS_ARGS)]
puts "place_pins [join $args " "]"
place_pins {*}$args
}