Skip to content

Releases: UT-LCA/Scalability-Phase-Simpoint-of-SPEC-CPU2017

Initial Release of Floating Point Workloads

08 Apr 23:38
f5afa55
Compare
Choose a tag to compare

This is the first release of the floating point workloads for the SPEC CPU 2017 benchmark suite. The other workloads will be released soon.

SPEC CPU2017 Integer Speed Suite SimPoint Pinballs

31 Aug 14:57
94e850f
Compare
Choose a tag to compare

DOI
This release includes the pinballs for SimPoints of all benchmarks in the SPECspeed 2017 Integer suite.
The remaining pinballs will be uploaded as they become available.

  • Note: Please refer to the SPEC Fair Use Rules before using these checkpoints. If used as the basis for prediction of SPEC run time or a SPEC metric, any results published must be very clearly tagged as “Estimated” or “Estimated by simulation of pinballs for representative simulation regions (PinPoints)”.
  • Note: The "Source code (zip)" and the "Source code (tar.gz)" are packages of the repository, only have documentation. For a copy of SPEC CPU2017, please see http://www.spec.org.

If you feel those pinballs useful, please cite related papers (IISWC18: [PDF] Technical Report: [PDF] HPCA18: [PDF] [BibTex]) as follows:

Qinzhe Wu, Steven Flolid, Shuang Song, Junyong Deng and Lizy K. John. Hot Regions in SPEC CPU2017. IEEE International Symposium on Workload Characterization (IISWC), Oct, 2018.
Shuang Song, Qinzhe Wu, Steven Flolid, Joseph Dean, Reena Panda and Lizy K. John. Experiments with SPEC CPU 2017: Similarity, Balance, Phase Behavior and SimPoints. Technical report TR-180515-01, LCA Group, Department of Electrical and Computer Engineering, The University of Texas at Austin, May, 2018.
Reena Panda, Shuang Song, Joseph Dean and Lizy K. John. Wait of a Decade: Did SPEC CPU 2017 Broaden the Performance Horizon? IEEE International Symposium on High Performance Computer Architecture (HPCA), Feb, 2018.

Xalancbmk pinballs

27 Apr 20:04
94e850f
Compare
Choose a tag to compare

The pinballs for Xalancbmk are now ready for use. Pinballs are still being generated for the floating point workloads of SPEC CPU 2017

Initial Integer SimPoint Pinballs

28 Aug 21:29
Compare
Choose a tag to compare
Pre-release

This release includes the pinballs for SimPoints of all benchmarks in the SPECspeed 2017 Integer suite (except for 623.xalancbmk_s).
The remaining pinballs will be uploaded as they become available.

  • Note: Please refer to the SPEC Fair Use Rules before using these checkpoints. If used as the basis for prediction of SPEC run time or a SPEC metric, any results published must be very clearly tagged as “Estimated” or “Estimated by simulation of pinballs for representative simulation regions (PinPoints)”.
  • Note: The "Source code (zip)" and the "Source code (tar.gz)" are packages of the repository, only have documentation. For a copy of SPEC CPU2017, please see http://www.spec.org.

If you feel those pinballs useful, please cite related papers (IISWC18: [PDF] Technical Report: [PDF] HPCA18: [PDF] [BibTex]) as follows:

Qinzhe Wu, Steven Flolid, Shuang Song, Junyong Deng and Lizy K. John. Hot Regions in SPEC CPU2017. IEEE International Symposium on Workload Characterization (IISWC), Oct, 2018.
Shuang Song, Qinzhe Wu, Steven Flolid, Joseph Dean, Reena Panda and Lizy K. John. Experiments with SPEC CPU 2017: Similarity, Balance, Phase Behavior and SimPoints. Technical report TR-180515-01, LCA Group, Department of Electrical and Computer Engineering, The University of Texas at Austin, May, 2018.
Reena Panda, Shuang Song, Joseph Dean and Lizy K. John. Wait of a Decade: Did SPEC CPU 2017 Broaden the Performance Horizon? IEEE International Symposium on High Performance Computer Architecture (HPCA), Feb, 2018.

Initial Integer SimPoint Pinballs

26 Jun 20:12
Compare
Choose a tag to compare
Pre-release

This release includes the pinballs for SimPoints of all benchmarks in the SPECspeed 2017 Integer suite (except for 623.xalancbmk_s).
The remaining pinballs will be uploaded as they become available.

  • Note: Please refer to the SPEC Fair Use Rules before using these checkpoints. If used as the basis for prediction of SPEC run time or a SPEC metric, any results published must be very clearly tagged as “Estimated” or “Estimated by simulation of pinballs for representative simulation regions (PinPoints)”.
  • Note: The "Source code (zip)" and the "Source code (tar.gz)" are packages of the repository, only have documentation. For a copy of SPEC CPU2017, please see http://www.spec.org.

If you feel those pinballs useful, please cite our technical report ([PDF] [BibTex]) as follows:

Shuang Song, Qinzhe Wu, Steven Flolid, Joseph Dean, Reena Panda and Lizy K. John. Experiments with SPEC CPU 2017: Similarity, Balance, Phase Behavior and SimPoints. Technical report TR-180515-01, LCA Group, Department of Electrical and Computer Engineering, The University of Texas at Austin, May, 2018.