Skip to content

Commit

Permalink
Minor changes.
Browse files Browse the repository at this point in the history
  • Loading branch information
Patrick Lehmann authored and Patrick Lehmann committed May 18, 2016
1 parent da39d05 commit 3b3cd2b
Show file tree
Hide file tree
Showing 2 changed files with 21 additions and 4 deletions.
23 changes: 20 additions & 3 deletions docs/source/PoC/misc/sync/index.rst
Original file line number Diff line number Diff line change
Expand Up @@ -7,6 +7,15 @@ synchonizer called :doc:`sync_Bits </PoC/misc/sync/sync_Bits>`. PoC has two
platform specific implementations for Altera and Xilinx, which are choosen,
if the appropriate ``MY_DEVICE`` constant is configured in :doc:`my_config.vhdl </PoC/common/my_config_template>`.

**Decision Table:**

+-----------------+-------------------------------------------------+---------------------------------------------------+--------------------+-----------------------------------------------+
| Signal Behavior | Flag [#f1]_ | Strobe [#f2]_ | Continuous Data | Reset [#f3]_ |
+=================+=================================================+===================================================+====================+===============================================+
| 1 Bit | :doc:`sync_Bits </PoC/misc/sync/sync_Bits>` | :doc:`sync_Strobe </PoC/misc/sync/sync_Strobe>` | fifo_ic_got [#f4]_ | :doc:`sync_Reset </PoC/misc/sync/sync_Reset>` |
+-----------------+-------------------------------------------------+---------------------------------------------------+--------------------+-----------------------------------------------+
| n Bit | :doc:`sync_Vector </PoC/misc/sync/sync_Vector>` | :doc:`sync_Command </PoC/misc/sync/sync_Command>` | fifo_ic_got [#f4]_ | |
+-----------------+-------------------------------------------------+---------------------------------------------------+--------------------+-----------------------------------------------+

Basic 2 Flip-Flop Synchronizer
^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
Expand All @@ -28,11 +37,11 @@ Special Synchronizers

Based on the 2-FF synchronizer, several "high-level" synchronizers are build.

* :doc:`sync_Strobe </PoC/misc/sync/sync_Strobe>` synchronizer ``Strobe``-signals
* :doc:`sync_Strobe </PoC/misc/sync/sync_Strobe>` synchronizer ``strobe``-signals
across clock-domain-boundaries. A busy signal indicates the synchronization
status and can be used as a internal gate-signal to disallow new incoming
strobes. A ``Strobe``-signal is only for one clock period active.
* :doc:`sync_Command </PoC/misc/sync/sync_Command>` like sync_Strobe, it synchronizes
strobes. A ``strobe``-signal is only for one clock period active.
* :doc:`sync_Command </PoC/misc/sync/sync_Command>` like ``sync_Strobe``, it synchronizes
a one clock period active signal across the clock-domain-boundary, but the
input has multiple bits. After the multi bit strobe (Command) was transfered,
the output goes to its idle value.
Expand All @@ -47,6 +56,14 @@ Based on the 2-FF synchronizer, several "high-level" synchronizers are build.
:doc:`PoC.fifo.ic_got </PoC/fifo/fifo_ic_got>`
For a cross-clock capable FIFO.

.. rubric:: Footnotes

.. [#f1] A *flag* or *status* signal is a continuous, long time stable signal.
.. [#f2] A *strobe* signal is active for only one cycle.
.. [#f3] To be documented
.. [#f4] See the ``PoC.fifo`` namespace for cross-clock capable FIFOs.
.. toctree::
:hidden:

Expand Down
2 changes: 1 addition & 1 deletion docs/source/index.rst
Original file line number Diff line number Diff line change
@@ -1,7 +1,7 @@
This library is published and maintained by **Chair for VLSI Design, Diagnostics and Architecture** - Faculty of Computer Science, Technische Universität
Dresden, Germany `http://vlsi-eda.inf.tu-dresden.de <http://vlsi-eda.inf.tu-dresden.de>`_

.. image:: _static/logo_tud.gif
.. image:: _static/images/logo_tud.gif
:scale: 60
:alt: Logo: Technische Universität Dresden

Expand Down

0 comments on commit 3b3cd2b

Please sign in to comment.