Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

ROM generation tutorial/references #191

Open
SPD3 opened this issue Apr 12, 2023 · 2 comments
Open

ROM generation tutorial/references #191

SPD3 opened this issue Apr 12, 2023 · 2 comments
Assignees

Comments

@SPD3
Copy link

SPD3 commented Apr 12, 2023

Describe the bug
No tutorials for how to use the rom compiler.

Version
85db204

To Reproduce
N/A

Expected behavior
Resources to exist within the docs/source directory showing how to generate read only memory, including a sample config file.

Logs
N/A

Additional context
N/A

@Nado15
Copy link

Nado15 commented Nov 28, 2023

Any news?

@mguthaus
Copy link
Collaborator

Hi,

No there aren't any tutorials but this would be a great contribution. The usage is very similar to the SRAMs and there are examples in the macros subdirectory.

Matt

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

4 participants