Skip to content

Commit

Permalink
fixed CI and spacing
Browse files Browse the repository at this point in the history
Signed-off-by: Joshua Fife <jpfife17@gmail.com>
  • Loading branch information
WhiteNinjaZ committed Oct 9, 2021
1 parent 49b2776 commit 68bbc2a
Show file tree
Hide file tree
Showing 6 changed files with 17 additions and 91 deletions.
5 changes: 3 additions & 2 deletions .github/scripts/build-examples.sh
Original file line number Diff line number Diff line change
Expand Up @@ -53,9 +53,9 @@ elif [ "$fpga_family" == "eos-s3" -a -z "$examples" ]; then
fi

# activate conda and enter example dir

snippets="docs/building-examples.rst:export-install-dir,fpga-fam-$fpga_family,conda-prep-env-$fpga_family,conda-act-env,enter-dir-$fpga_family"
additionalDesigns="docs/building-examples.rst:export-install-dir,fpga-fam-$fpga_family,conda-prep-env-$fpga_family,conda-act-env,enter-dir-$fpga_family,additional_examples"
projfDesigns="docs/building-examples.rst:export-install-dir,fpga-fam-$fpga_family,conda-prep-env-$fpga_family,conda-act-env"

# Xilinx 7-Series examples
if [ "$fpga_family" = "xc7" ]; then
Expand Down Expand Up @@ -83,10 +83,11 @@ if [ "$fpga_family" = "xc7" ]; then
;;
"timer")
snippets="${snippets} xc7/timer/README.rst:example-watch-basys3"
;;

# Project F examples
"hello-a")
TARGET="arty_35" make -C projf-makefiles/hello/hello-arty/A
snippets="${projfDesigns} projf-makefiles/hello/hello-arty/A/README.rst:hello-arty-A"
;;
*)
echo "ERROR: Unknown example name: $example" >&2
Expand Down
76 changes: 0 additions & 76 deletions .github/scripts/build-projF.sh

This file was deleted.

7 changes: 1 addition & 6 deletions .github/workflows/sphinx-tuttest.yml
Original file line number Diff line number Diff line change
Expand Up @@ -58,7 +58,6 @@ jobs:
- {fpga-fam: "xc7", os: "debian", os-version: "bullseye", example: "litex_linux"}
- {fpga-fam: "xc7", os: "debian", os-version: "sid", example: "litex_linux"}


- {fpga-fam: "xc7", os: "ubuntu", os-version: "xenial", example: "button_controller"}
- {fpga-fam: "xc7", os: "ubuntu", os-version: "bionic", example: "button_controller"}
- {fpga-fam: "xc7", os: "ubuntu", os-version: "focal", example: "button_controller"}
Expand All @@ -85,8 +84,7 @@ jobs:
- {fpga-fam: "xc7", os: "debian", os-version: "buster", example: "timer"}
- {fpga-fam: "xc7", os: "debian", os-version: "bullseye", example: "timer"}
- {fpga-fam: "xc7", os: "debian", os-version: "sid", example: "timer"}



- {fpga-fam: "xc7", os: "ubuntu", os-version: "xenial", example: "hello-a"}
- {fpga-fam: "xc7", os: "ubuntu", os-version: "bionic", example: "hello-a"}
- {fpga-fam: "xc7", os: "ubuntu", os-version: "focal", example: "hello-a"}
Expand Down Expand Up @@ -124,9 +122,6 @@ jobs:
- name: Build examples
run: bash .github/scripts/build-examples.sh ${{matrix.fpga-fam}} ${{matrix.example}}

- name: Build Project F
run: bash .github/scripts/build-projF.sh ${{matrix-projF.fpga-fam}} ${{matrix-projF.example}}

- uses: actions/upload-artifact@v2
with:
name: symbiflow-examples-bitstreams
Expand Down
4 changes: 0 additions & 4 deletions docs/project-f.rst
Original file line number Diff line number Diff line change
Expand Up @@ -45,7 +45,3 @@ For example to download the first design from hello arty:
cd hello-build/A
openocd -f ${INSTALL_DIR}/${FPGA_FAM}/conda/envs/${FPGA_FAM}/share/openocd/scripts/board/digilent_arty.cfg -c "init; pld load 0 top.bit; exit"
4 changes: 1 addition & 3 deletions projf-makefiles/hello/hello-arty/A/Makefile
Original file line number Diff line number Diff line change
Expand Up @@ -5,8 +5,6 @@ BUILDDIR := ${current_dir}/../../../../hello-build

TOP := top
SOURCES := ${proj_f_dir}/top.sv

XDC := ${proj_f_dir}/arty.xdc


include ${current_dir}/../../../common.mk
include ${current_dir}/../../../common.mk
12 changes: 12 additions & 0 deletions projf-makefiles/hello/hello-arty/A/README.rst
Original file line number Diff line number Diff line change
@@ -0,0 +1,12 @@
Part 1 Design A
===============

This design allows you to turn the first led on the arty board on and off by toggling switch 0.
To build this design run the following command in main symbiflow directory:

.. code:: bash
:name: hello-arty-A
TARGET="arty_35" make -C projf-makefiles/hello/hello-arty/A"
You can then find the bitstream under ``symbiflow-examples/hello-build/A``.

0 comments on commit 68bbc2a

Please sign in to comment.