Skip to content

Commit

Permalink
Release version 0.40
Browse files Browse the repository at this point in the history
  • Loading branch information
mmicko committed Apr 10, 2024
1 parent 3d5e23e commit a1bb025
Show file tree
Hide file tree
Showing 2 changed files with 14 additions and 3 deletions.
13 changes: 12 additions & 1 deletion CHANGELOG
Expand Up @@ -2,8 +2,19 @@
List of major changes and improvements between releases
=======================================================

Yosys 0.39 .. Yosys 0.40-dev
Yosys 0.39 .. Yosys 0.40
--------------------------
* New commands and options
- Added option "-vhdl2019" to "read" and "verific" pass.

* Various
- Major documentation overhaul.
- Added port statistics to "stat" command.
- Added new formatting features to cxxrtl backend.

* Verific support
- Added better support for VHDL constants import.
- Added support for VHDL 2009.

Yosys 0.38 .. Yosys 0.39
--------------------------
Expand Down
4 changes: 2 additions & 2 deletions Makefile
Expand Up @@ -142,7 +142,7 @@ LIBS += -lrt
endif
endif

YOSYS_VER := 0.39+183
YOSYS_VER := 0.40

# Note: We arrange for .gitcommit to contain the (short) commit hash in
# tarballs generated with git-archive(1) using .gitattributes. The git repo
Expand All @@ -158,7 +158,7 @@ endif
OBJS = kernel/version_$(GIT_REV).o

bumpversion:
sed -i "/^YOSYS_VER := / s/+[0-9][0-9]*$$/+`git log --oneline 0033808.. | wc -l`/;" Makefile
# sed -i "/^YOSYS_VER := / s/+[0-9][0-9]*$$/+`git log --oneline 0033808.. | wc -l`/;" Makefile

# set 'ABCREV = default' to use abc/ as it is
#
Expand Down

0 comments on commit a1bb025

Please sign in to comment.