Skip to content

Commit

Permalink
Drop support for Python 3.6.
Browse files Browse the repository at this point in the history
  • Loading branch information
whitequark committed Apr 4, 2022
1 parent 9a5a614 commit 64771a0
Show file tree
Hide file tree
Showing 6 changed files with 15 additions and 12 deletions.
2 changes: 1 addition & 1 deletion .github/workflows/main.yaml
Expand Up @@ -8,7 +8,7 @@ jobs:
runs-on: ubuntu-latest
strategy:
matrix:
python-version: ['3.6', '3.7', '3.8', '3.9', '3.10', pypy3]
python-version: ['3.7', '3.8', '3.9', '3.10', pypy3]
steps:
- name: Check out source code
uses: actions/checkout@v2
Expand Down
2 changes: 1 addition & 1 deletion amaranth/_toolchain/cxx.py
Expand Up @@ -19,7 +19,7 @@ def build_cxx(*, cxx_sources, output_name, include_dirs, macros):

with warnings.catch_warnings():
warnings.filterwarnings(action="ignore", category=DeprecationWarning)
# This emits a DeprecationWarning on Python 3.6 and 3.10.
# This emits a DeprecationWarning on Python 3.10.
from setuptools import distutils
cc_driver = distutils.ccompiler.new_compiler()

Expand Down
11 changes: 4 additions & 7 deletions amaranth/_toolchain/yosys.py
Expand Up @@ -12,14 +12,11 @@
except ImportError:
importlib_metadata = None # not installed
try:
from importlib import resources as importlib_resources
try:
from importlib import resources as importlib_resources
try:
importlib_resources.files # py3.9+ stdlib
except AttributeError:
import importlib_resources # py3.8- shim
except ImportError:
import importlib_resources # py3.6- shim
importlib_resources.files # py3.9+ stdlib
except AttributeError:
import importlib_resources # py3.8- shim
except ImportError:
importlib_resources = None

Expand Down
6 changes: 6 additions & 0 deletions docs/changes.rst
Expand Up @@ -4,6 +4,12 @@ Changelog
This document describes changes to the public interfaces in the Amaranth language and standard library. It does not include most bug fixes or implementation changes.


Next version
============

Support for Python 3.6 has been dropped.


Version 0.3
============

Expand Down
4 changes: 2 additions & 2 deletions docs/install.rst
Expand Up @@ -6,7 +6,7 @@ System requirements

.. |yosys-version| replace:: 0.10 (or newer)

Amaranth HDL requires Python 3.6; it works on CPython_ 3.6 (or newer), and works faster on PyPy3.6_ 7.2 (or newer).
Amaranth HDL requires Python 3.7; it works on CPython_ 3.7 (or newer), and works faster on PyPy3.7_ 7.3.2 (or newer).

For most workflows, Amaranth requires Yosys_ |yosys-version|. A compatible version of Yosys is distributed via PyPI_ for most popular platforms.

Expand All @@ -17,7 +17,7 @@ Synthesizing, placing and routing an Amaranth design for an FPGA requires the FP
.. TODO: Link to FPGA family docs here
.. _CPython: https://www.python.org/
.. _PyPy3.6: https://www.pypy.org/
.. _PyPy3.7: https://www.pypy.org/
.. _Yosys: https://yosyshq.net/yosys/
.. _PyPI: https://pypi.org/
.. _GTKWave: http://gtkwave.sourceforge.net/
Expand Down
2 changes: 1 addition & 1 deletion setup.py
Expand Up @@ -37,7 +37,7 @@ def doc_version():
description="Amaranth hardware definition language",
#long_description="""TODO""",
license="BSD",
python_requires="~=3.6",
python_requires="~=3.7",
setup_requires=["wheel", "setuptools", "setuptools_scm"],
install_requires=[
"importlib_metadata; python_version<'3.8'", # for __version__ and amaranth._toolchain.yosys
Expand Down

0 comments on commit 64771a0

Please sign in to comment.