Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

fix xilinx toolchain scripts for f4pga. #731

Merged
merged 1 commit into from Dec 1, 2022
Merged
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Jump to
Jump to file
Failed to load files.
Diff view
Diff view
15 changes: 14 additions & 1 deletion amaranth/vendor/xilinx.py
Expand Up @@ -330,6 +330,14 @@ def _part(self):
"xc7a35ticsg324-1L": "xc7a35tcsg324-1", # Arty-A7
}

_symbiflow_bitstream_device_map = {
"xc7a35ticsg324-1L": "artix7",
}

_symbiflow_device_map = {
"xc7a35ticsg324-1L": "xc7a50t_test",
}

_symbiflow_required_tools = [
"symbiflow_synth",
"symbiflow_pack",
Expand Down Expand Up @@ -378,12 +386,13 @@ def _part(self):
-t {{name}}
-v {% for file in platform.iter_files(".v", ".sv", ".vhd", ".vhdl") -%} {{file}} {% endfor %} {{name}}.v
-p {{platform._symbiflow_part_map.get(platform._part, platform._part)}}
-d {{platform._symbiflow_bitstream_device_map.get(platform._part, platform._part)}}
-x {{name}}.xdc
""",
r"""
{{invoke_tool("symbiflow_pack")}}
-e {{name}}.eblif
-P {{platform._symbiflow_part_map.get(platform._part, platform._part)}}
-d {{platform._symbiflow_device_map.get(platform._part, platform._part)}}
-s {{name}}.sdc
""",
r"""
Expand All @@ -392,23 +401,27 @@ def _part(self):
-p {{name}}.pcf
-n {{name}}.net
-P {{platform._symbiflow_part_map.get(platform._part, platform._part)}}
-d {{platform._symbiflow_device_map.get(platform._part, platform._part)}}
-s {{name}}.sdc
""",
r"""
{{invoke_tool("symbiflow_route")}}
-e {{name}}.eblif
-P {{platform._symbiflow_part_map.get(platform._part, platform._part)}}
-d {{platform._symbiflow_device_map.get(platform._part, platform._part)}}
-s {{name}}.sdc
""",
r"""
{{invoke_tool("symbiflow_write_fasm")}}
-e {{name}}.eblif
-P {{platform._symbiflow_part_map.get(platform._part, platform._part)}}
-d {{platform._symbiflow_device_map.get(platform._part, platform._part)}}
""",
r"""
{{invoke_tool("symbiflow_write_bitstream")}}
-f {{name}}.fasm
-p {{platform._symbiflow_part_map.get(platform._part, platform._part)}}
-d {{platform._symbiflow_bitstream_device_map.get(platform._part, platform._part)}}
-b {{name}}.bit
"""
]
Expand Down