Skip to content

Commit

Permalink
Merge pull request #182 from analogdevicesinc/tfcollins/hdl-2022-r2-s…
Browse files Browse the repository at this point in the history
…upport
  • Loading branch information
tfcollins committed May 11, 2024
2 parents d1a7452 + 4f9177d commit cc13e23
Show file tree
Hide file tree
Showing 18 changed files with 34 additions and 34 deletions.
4 changes: 2 additions & 2 deletions +adi/Version.m
Expand Up @@ -2,8 +2,8 @@
%Version
% BSP Version information
properties(Constant)
HDL = 'hdl_2021_r2';
Vivado = '2021.2';
HDL = 'hdl_2022_r2';
Vivado = '2022.2';
MATLAB = 'R2023b';
Release = '23.2.1';
AppName = 'Analog Devices, Inc. Transceiver Toolbox';
Expand Down
4 changes: 2 additions & 2 deletions CI/doc/source/install.md
Expand Up @@ -23,8 +23,8 @@ The base dependencies for the toolbox requires libiio and the libiio MATLAB bind

To leverage HDL-Coder and the reference designs with the toolbox requires the following components:

- Xilinx Vivado 2021.2
- Xilinx Vitis 2021.2
- Xilinx Vivado 2022.2
- Xilinx Vitis 2022.2
- Simulink
- [HDL-Coder™](https://www.mathworks.com/products/hdl-coder.html)
- [HDL Coder™ Support Package for Xilinx Zynq Platform](https://www.mathworks.com/matlabcentral/fileexchange/40447-hdl-coder-support-package-for-xilinx-zynq-platform)
Expand Down
2 changes: 1 addition & 1 deletion CI/scripts/Makefile
Expand Up @@ -12,7 +12,7 @@ MLRELEASE := R2023b
endif

ifeq ($(HDLBRANCH),)
HDLBRANCH := hdl_2021_r2
HDLBRANCH := hdl_2022_r2
endif

ifeq ($(OS),Windows_NT)
Expand Down
2 changes: 1 addition & 1 deletion CI/scripts/build_bsp.sh
Expand Up @@ -2,7 +2,7 @@
set -xe

if [ -z "${HDLBRANCH}" ]; then
HDLBRANCH='hdl_2021_r2'
HDLBRANCH='hdl_2022_r2'
fi

# Script is designed to run from specific location
Expand Down
4 changes: 2 additions & 2 deletions CI/scripts/synth_designs.sh
Expand Up @@ -13,11 +13,11 @@ MLPATH=/usr/local/MATLAB
cd ../..
cp hdl/vendor/AnalogDevices/hdlcoder_board_customization.m test/hdlcoder_board_customization_local.m
sed -i "s/hdlcoder_board_customization/hdlcoder_board_customization_local/g" test/hdlcoder_board_customization_local.m
source /opt/Xilinx/Vivado/2021.2/settings64.sh
source /opt/Xilinx/Vivado/2022.2/settings64.sh
Xvfb :77 &
export DISPLAY=:77
export SWT_GTK3=0
source /opt/Xilinx/Vivado/2021.2/settings64.sh
source /opt/Xilinx/Vivado/2022.2/settings64.sh
$MLPATH/$MLRELEASE/bin/matlab $MLFLAGS -r "cd('test');runSynthTests('$BOARD');"
pidof Xvfb
if [ $? -eq 0 ]; then
Expand Down
4 changes: 2 additions & 2 deletions CI/scripts/targeting_designs.sh
Expand Up @@ -15,11 +15,11 @@ echo "Testing demo: $DEMO"
MLPATH=/usr/local/MATLAB

cd ../..
source /opt/Xilinx/Vivado/2021.2/settings64.sh
source /opt/Xilinx/Vivado/2022.2/settings64.sh
Xvfb :77 &
export DISPLAY=:77
export SWT_GTK3=0
source /opt/Xilinx/Vivado/2021.2/settings64.sh
source /opt/Xilinx/Vivado/2022.2/settings64.sh
$MLPATH/$MLRELEASE/bin/matlab $MLFLAGS -r "addpath(genpath('test'));addpath(genpath('deps'));runDemoTests('$DEMO');"
pidof Xvfb
if [ $? -eq 0 ]; then
Expand Down
10 changes: 5 additions & 5 deletions Jenkinsfile
Expand Up @@ -8,7 +8,7 @@ dockerHost = 'docker'

////////////////////////////

hdlBranches = ['main','hdl_2021_r2']
hdlBranches = ['main','hdl_2022_r2']

stage("Build Toolbox") {
dockerParallelBuild(hdlBranches, dockerHost, dockerConfig) {
Expand All @@ -24,14 +24,14 @@ stage("Build Toolbox") {
sh 'make -C ./CI/scripts gen_tlbx'
}
} catch(Exception ex) {
if (branchName == 'hdl_2021_r2') {
if (branchName == 'hdl_2022_r2') {
error('Production Toolbox Build Failed')
}
else {
unstable('Development Build Failed')
}
}
if (branchName == 'hdl_2021_r2') {
if (branchName == 'hdl_2022_r2') {
stash includes: '**', name: 'builtSources', useDefaultExcludes: false
archiveArtifacts artifacts: 'hdl/*', followSymlinks: false, allowEmptyArchive: true
}
Expand All @@ -48,7 +48,7 @@ boardNames = [
'adrv9361z7035_ccbob_cmos','adrv9361z7035_ccbob_lvds','adrv9361z7035_ccfmc_lvds','adrv9361z7035_ccpackrf_lvds',
'adrv9364z7020_ccbob_cmos','adrv9364z7020_ccbob_lvds',
'pluto']
dockerConfig.add("-e HDLBRANCH=hdl_2021_r2")
dockerConfig.add("-e HDLBRANCH=hdl_2022_r2")

cstage("HDL Tests", "", flags) {
dockerParallelBuild(boardNames, dockerHost, dockerConfig) {
Expand Down Expand Up @@ -91,7 +91,7 @@ for (int i=0; i < demoNames.size(); i++) {
nodeLabel = 'baremetal && high_memory';
deployments[demo] = { node(nodeLabel) {
stage("Demo Tests") {
withEnv(['DEMO='+demo,'MLRELEASE=R2023b','HDLBRANCH=hdl_2021_r2','LC_ALL=C.UTF-8','LANG=C.UTF-8']) {
withEnv(['DEMO='+demo,'MLRELEASE=R2023b','HDLBRANCH=hdl_2022_r2','LC_ALL=C.UTF-8','LANG=C.UTF-8']) {
try {
stage(demo) {
echo "Node: ${env.NODE_NAME}"
Expand Down
8 changes: 4 additions & 4 deletions JenkinsfileCron
Expand Up @@ -6,7 +6,7 @@ dockerHost = 'docker'

////////////////////////////

hdlBranches = ['hdl_2021_r2']
hdlBranches = ['hdl_2022_r2']

stage("Build Toolbox") {
dockerParallelBuild(hdlBranches, dockerHost, dockerConfig) {
Expand All @@ -22,14 +22,14 @@ stage("Build Toolbox") {
sh 'make -C ./CI/scripts gen_tlbx'
}
} catch(Exception ex) {
if (branchName == 'hdl_2021_r2') {
if (branchName == 'hdl_2022_r2') {
error('Production Toolbox Build Failed')
}
else {
unstable('Development Build Failed')
}
}
if (branchName == 'hdl_2021_r2') {
if (branchName == 'hdl_2022_r2') {
stash includes: '**', name: 'builtSources', useDefaultExcludes: false
archiveArtifacts artifacts: 'hdl/*', followSymlinks: false, allowEmptyArchive: true
}
Expand All @@ -56,7 +56,7 @@ for (int i=0; i < boardNames.size(); i++) {
nodeLabel = 'baremetal && high_memory';
deployments[board] = { node(nodeLabel) {
stage("Synthesis Tests") {
withEnv(['BOARD='+board,'MLRELEASE=R2023b','HDLBRANCH=hdl_2021_r2','LC_ALL=C.UTF-8','LANG=C.UTF-8']) {
withEnv(['BOARD='+board,'MLRELEASE=R2023b','HDLBRANCH=hdl_2022_r2','LC_ALL=C.UTF-8','LANG=C.UTF-8']) {
try {
stage("Synth") {
echo "Node: ${env.NODE_NAME}"
Expand Down
2 changes: 1 addition & 1 deletion JenkinsfileHW
Expand Up @@ -3,7 +3,7 @@ lock(label: 'adgt_test_harness_boards') {
@Library('sdgtt-lib@adgt-test-harness') _ // Not necessary when we turn on global libraries :)
def hdlBranch = "NA"
def linuxBranch = "NA"
def bootPartitionBranch = "2021_r2"
def bootPartitionBranch = "2022_r2"
def firmwareVersion = 'v0.34'
def bootfile_source = 'artifactory' // options: sftp, artifactory, http, local
def harness = getGauntlet(hdlBranch, linuxBranch, bootPartitionBranch, firmwareVersion, bootfile_source)
Expand Down
4 changes: 2 additions & 2 deletions README.md
Expand Up @@ -12,15 +12,15 @@ As with many open source packages, we use [GitHub](https://github.com/analogdevi

| HDL Branch | MATLAB Release | Installer Package |
|:-----------------:|:--------------:|:-------------------:|
| 2021_R2 | R2023b | <a href="https://github.com/analogdevicesinc/TransceiverToolbox/releases/tag/latest"><img src="https://upload.wikimedia.org/wikipedia/commons/2/21/Matlab_Logo.png" data-canonical-src="https://upload.wikimedia.org/wikipedia/commons/2/21/Matlab_Logo.png" height="40" /></a>|
| 2022_R2 | R2023b | <a href="https://github.com/analogdevicesinc/TransceiverToolbox/releases/tag/latest"><img src="https://upload.wikimedia.org/wikipedia/commons/2/21/Matlab_Logo.png" data-canonical-src="https://upload.wikimedia.org/wikipedia/commons/2/21/Matlab_Logo.png" height="40" /></a>|

If you use it, and like it - please let us know. If you use it, and hate it - please let us know that too.

## Supported Tools and Releases

We provide support across two releases of MATLAB. This does not mean older releases will not work but they are not maintained. Currently supported tools are:
- Bug fixes only: MATLAB R2022b with Vivado 2021.2
- Bug fixes and new features: MATLAB R2023b with Vivado 2021.2
- Bug fixes and new features: MATLAB R2023b with Vivado 2022.2

## Support and Documentation

Expand Down
2 changes: 1 addition & 1 deletion hdl/vendor/AnalogDevices/+AnalogDevices/plugin_rd.m
Expand Up @@ -42,7 +42,7 @@

% Tool information
%hRD.SupportedToolVersion = {adi.Version.Vivado}; % FIXME
hRD.SupportedToolVersion = {'2021.2'};
hRD.SupportedToolVersion = {'2022.2'};

% Get the root directory
rootDir = fileparts(strtok(mfilename('fullpath'), '+'));
Expand Down
10 changes: 5 additions & 5 deletions test/DemoTests.m
Expand Up @@ -20,7 +20,7 @@ function addpaths(testCase)

methods(Test)
function buildHDLLoopbackDelayEstimation(testCase)
testCase.setupVivado('2021.2');
testCase.setupVivado('2022.2');
cd(fullfile(testCase.root,'trx_examples/targeting/loopback-delay-estimation'));
hdlworkflow;
if ~isempty(out)
Expand All @@ -32,7 +32,7 @@ function buildHDLLoopbackDelayEstimation(testCase)
end
end
function buildHDLFrequencyHopper(testCase)
testCase.setupVivado('2021.2');
testCase.setupVivado('2022.2');
cd(fullfile(testCase.root,'trx_examples/targeting/frequency-hopping'));
hdlworkflow;
if ~isempty(out)
Expand All @@ -44,7 +44,7 @@ function buildHDLFrequencyHopper(testCase)
end
end
function buildHDLTuneAGC(testCase)
testCase.setupVivado('2021.2');
testCase.setupVivado('2022.2');
cd(fullfile(testCase.root,'trx_examples/targeting/tuneAGC-ad9361'));
hdlworkflow;
if ~isempty(out)
Expand All @@ -56,7 +56,7 @@ function buildHDLTuneAGC(testCase)
end
end
function buildKernelFrequencyHopper(testCase)
testCase.setupVivado('2021.2');
testCase.setupVivado('2022.2');
cd(fullfile(testCase.root,'trx_examples/targeting/frequency-hopping'));
system('chmod +x build_kernel.sh');
system('./build_kernel.sh');
Expand Down Expand Up @@ -95,7 +95,7 @@ function setupVivado(vivado)
case '(R2022b)'
vivado = '2021.2';
case '(R2023b)'
vivado = '2021.2';
vivado = '2022.2';
end
end
if ispc
Expand Down
Expand Up @@ -14,7 +14,7 @@
hRD.BoardName = sprintf('AnalogDevices ADRV9361-Z7035 Frequency Hopping');

% Tool information
hRD.SupportedToolVersion = {'2021.2'};
hRD.SupportedToolVersion = {'2022.2'};

% DMA Configuration
hRD.addParameter( ...
Expand Down
2 changes: 1 addition & 1 deletion trx_examples/targeting/frequency-hopping/build_kernel.sh
@@ -1,7 +1,7 @@
#!/bin/bash

# Build kernel
git clone -b 2021_R2 --depth=1 https://github.com/analogdevicesinc/linux.git
git clone -b 2022_r2 --depth=1 https://github.com/analogdevicesinc/linux.git
cd linux
export ARCH=arm
export CROSS_COMPILE=arm-linux-gnueabihf-
Expand Down
2 changes: 1 addition & 1 deletion trx_examples/targeting/frequency-hopping/hdlworkflow.m
Expand Up @@ -134,7 +134,7 @@

% Specify the top level project directory
hWC.ProjectFolder = 'hdl_prj';
hWC.ReferenceDesignToolVersion = '2021.2';
hWC.ReferenceDesignToolVersion = '2022.2';
hWC.IgnoreToolVersionMismatch = true;
hWC.AllowUnsupportedToolVersion = true;

Expand Down
Expand Up @@ -153,7 +153,7 @@

% Specify the top level project directory
hWC.ProjectFolder = 'hdl_prj';
hWC.ReferenceDesignToolVersion = '2021.2';
hWC.ReferenceDesignToolVersion = '2022.2';
hWC.IgnoreToolVersionMismatch = true;
hWC.AllowUnsupportedToolVersion = true;

Expand Down
Expand Up @@ -14,7 +14,7 @@
hRD.BoardName = sprintf('AnalogDevices ADRV9361-Z7035 AGC');

% Tool information
hRD.SupportedToolVersion = {'2021.2'};
hRD.SupportedToolVersion = {'2022.2'};

% Add AGC control
hRD.addParameter( ...
Expand Down
2 changes: 1 addition & 1 deletion trx_examples/targeting/tuneAGC-ad9361/hdlworkflow.m
Expand Up @@ -150,7 +150,7 @@

% Specify the top level project directory
hWC.ProjectFolder = 'hdl_prj';
hWC.ReferenceDesignToolVersion = '2021.2';
hWC.ReferenceDesignToolVersion = '2022.2';
hWC.IgnoreToolVersionMismatch = true;
hWC.AllowUnsupportedToolVersion = true;

Expand Down

0 comments on commit cc13e23

Please sign in to comment.