Skip to content

Verilog code project of a modular Wallace Tree multiplier built using Full and Half Adders.

Notifications You must be signed in to change notification settings

boga-vidhath/Wallace-Tree-Multiplier

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

10 Commits
 
 
 
 
 
 
 
 
 
 

Repository files navigation

Wallace-Tree-Multiplier

5x5 Bits Verilog implementation of the Wallace Tree Multiplier

Verilog code project of a modular 5bitx5bit Wallace Tree multiplier built using Full and Half Adders.

"A Wallace multiplier is a hardware implementation of a binary multiplier, a digital circuit that multiplies two integers. It uses a selection of full and half adders (the Wallace tree or Wallace reduction) to sum partial products in stages until two numbers are left. Wallace multipliers reduce as much as possible on each layer. It has $O(log n)$ reduction layers, but each layer has only $O(1)$ propagation delay. A naive addition of partial products would require $O(log^2n)$ time."-Wikipedia

5x5 bit Wallace Tree Multiplier

About

Verilog code project of a modular Wallace Tree multiplier built using Full and Half Adders.

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published