Skip to content

Commit

Permalink
Update el2_exu_alu_ctl.sv
Browse files Browse the repository at this point in the history
Simplify the variable length loop syntax
  • Loading branch information
flaviens committed Nov 5, 2023
1 parent 0b8b335 commit 2e3491e
Showing 1 changed file with 2 additions and 2 deletions.
4 changes: 2 additions & 2 deletions design/exu/el2_exu_alu_ctl.sv
Original file line number Diff line number Diff line change
Expand Up @@ -337,8 +337,8 @@ import el2_pkg::*;
bitmanip_dw_lzd_enc[5:0]= 6'b0;
found = 1'b0;

for (int i=0; i<32 && found==0; i++) begin
if (bitmanip_lzd_os[31] == 1'b0) begin
for (int i=0; i<32; i++) begin

Check warning on line 340 in design/exu/el2_exu_alu_ctl.sv

View workflow job for this annotation

GitHub Actions / format-review

[verible-verilog-format] reported by reviewdog 🐶 Raw Output: design/exu/el2_exu_alu_ctl.sv:340:- for (int i=0; i<32; i++) begin design/exu/el2_exu_alu_ctl.sv:341:- if (bitmanip_lzd_os[31] == 1'b0 && found == 0) begin design/exu/el2_exu_alu_ctl.sv:342:- bitmanip_dw_lzd_enc[5:0]= bitmanip_dw_lzd_enc[5:0] + 6'b00_0001; design/exu/el2_exu_alu_ctl.sv:343:- bitmanip_lzd_os[31:0] = bitmanip_lzd_os[31:0] << 1; design/exu/el2_exu_alu_ctl.sv:344:- end design/exu/el2_exu_alu_ctl.sv:345:- else design/exu/el2_exu_alu_ctl.sv:346:- found=1'b1; design/exu/el2_exu_alu_ctl.sv:347:- end design/exu/el2_exu_alu_ctl.sv:348:- end design/exu/el2_exu_alu_ctl.sv:361:+ for (int i = 0; i < 32; i++) begin design/exu/el2_exu_alu_ctl.sv:362:+ if (bitmanip_lzd_os[31] == 1'b0 && found == 0) begin design/exu/el2_exu_alu_ctl.sv:363:+ bitmanip_dw_lzd_enc[5:0] = bitmanip_dw_lzd_enc[5:0] + 6'b00_0001; design/exu/el2_exu_alu_ctl.sv:364:+ bitmanip_lzd_os[31:0] = bitmanip_lzd_os[31:0] << 1; design/exu/el2_exu_alu_ctl.sv:365:+ end else found = 1'b1; design/exu/el2_exu_alu_ctl.sv:366:+ end design/exu/el2_exu_alu_ctl.sv:367:+ end
if (bitmanip_lzd_os[31] == 1'b0 && found == 0) begin
bitmanip_dw_lzd_enc[5:0]= bitmanip_dw_lzd_enc[5:0] + 6'b00_0001;
bitmanip_lzd_os[31:0] = bitmanip_lzd_os[31:0] << 1;
end
Expand Down

0 comments on commit 2e3491e

Please sign in to comment.