Skip to content

Commit

Permalink
Add time to TraceBundle
Browse files Browse the repository at this point in the history
  • Loading branch information
jerryz123 committed May 10, 2023
1 parent 85aca71 commit 57af718
Show file tree
Hide file tree
Showing 2 changed files with 2 additions and 0 deletions.
1 change: 1 addition & 0 deletions src/main/scala/rocket/RocketCore.scala
Expand Up @@ -813,6 +813,7 @@ class Rocket(tile: RocketTile)(implicit p: Parameters) extends CoreModule()(p)
csr.io.rw.cmd := CSR.maskCmd(wb_reg_valid, wb_ctrl.csr)
csr.io.rw.wdata := wb_reg_wdata
io.trace.insns := csr.io.trace
io.trace.time := csr.io.time
for (((iobpw, wphit), bp) <- io.bpwatch zip wb_reg_wphit zip csr.io.bp) {
iobpw.valid(0) := wphit
iobpw.action := bp.control.action
Expand Down
1 change: 1 addition & 0 deletions src/main/scala/tile/Core.scala
Expand Up @@ -153,6 +153,7 @@ class CoreInterrupts(implicit p: Parameters) extends TileInterrupts()(p) {
// This is a raw commit trace from the core, not the TraceCoreInterface
class TraceBundle(implicit val p: Parameters) extends Bundle with HasCoreParameters {
val insns = Vec(coreParams.retireWidth, new TracedInstruction)
val time = UInt(64.W)
}

trait HasCoreIO extends HasTileParameters {
Expand Down

0 comments on commit 57af718

Please sign in to comment.