Skip to content

Commit

Permalink
Merge pull request #289 from antmicro/bump-surelog
Browse files Browse the repository at this point in the history
Bump Surelog
  • Loading branch information
kamilrakoczy committed Jan 4, 2022
2 parents fb6df20 + 9935742 commit 9759a66
Show file tree
Hide file tree
Showing 3 changed files with 8 additions and 4 deletions.
5 changes: 4 additions & 1 deletion .github/workflows/main.yml
Original file line number Diff line number Diff line change
Expand Up @@ -22,7 +22,10 @@ jobs:
- name: Install dependencies
run: |
apt-get update -qq
apt install -y g++-9 build-essential cmake tclsh ant default-jre swig google-perftools libgoogle-perftools-dev python3 python3-dev uuid uuid-dev tcl-dev flex libfl-dev git pkg-config libreadline-dev bison libffi-dev wget ccache
apt install -y g++-9 build-essential cmake tclsh ant default-jre swig google-perftools libgoogle-perftools-dev python3 python3-dev python3-pip uuid uuid-dev tcl-dev flex libfl-dev git pkg-config libreadline-dev bison libffi-dev wget ccache
update-alternatives --install /usr/bin/python python /usr/bin/python3 1
update-alternatives --install /usr/bin/pip pip /usr/bin/pip3 1
pip install orderedmultidict
- uses: actions/checkout@v2
with:
Expand Down
2 changes: 1 addition & 1 deletion Surelog
Submodule Surelog updated 513 files
5 changes: 3 additions & 2 deletions uhdm-tests/swerv/0001-swerv.patch
Original file line number Diff line number Diff line change
Expand Up @@ -87,7 +87,7 @@ index 5110c1b..8ef38e8 100644
({32{w2v[j]}} & wd2[31:0]);
end
diff --git a/design/dec/dec_tlu_ctl.sv b/design/dec/dec_tlu_ctl.sv
index 52a4393..b5cc73f 100644
index 52a4393..2564a61 100644
--- a/design/dec/dec_tlu_ctl.sv
+++ b/design/dec/dec_tlu_ctl.sv
@@ -191,8 +191,10 @@ module dec_tlu_ctl
Expand Down Expand Up @@ -156,7 +156,8 @@ index 52a4393..b5cc73f 100644
- }));
+ .dout({dec_tlu_br0_wb_pkt[6:2], dec_tlu_br1_wb_pkt[6:2]}));

rvdff #(2*$bits(dec_tlu_br0_addr_e4[`RV_BTB_ADDR_HI:`RV_BTB_ADDR_LO]))
- rvdff #(2*$bits(dec_tlu_br0_addr_e4[`RV_BTB_ADDR_HI:`RV_BTB_ADDR_LO]))
+ rvdff #(2*$bits(dec_tlu_br0_addr_e4))
bp_wb_index_ff (.*, .clk(e4e5_clk),
.din({dec_tlu_br0_addr_e4[`RV_BTB_ADDR_HI:`RV_BTB_ADDR_LO],
dec_tlu_br1_addr_e4[`RV_BTB_ADDR_HI:`RV_BTB_ADDR_LO]}),
Expand Down

0 comments on commit 9759a66

Please sign in to comment.