Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

systemverilog-plugin: Blackparrot support (was: ERROR: Failed to detect width for parameter) #1064

Open
dpetrisko opened this issue Sep 7, 2022 · 45 comments

Comments

@dpetrisko
Copy link

dpetrisko commented Sep 7, 2022

Hi, trying to track down an issue, I believe it's in the plugin but it could also be in surelog.

I am using the default submodules except for https://github.com/chipsalliance/yosys-f4pga-plugins/pulls/377 to fix chipsalliance/yosys-f4pga-plugins#380 and chipsalliance/Surelog#3208 to fix chipsalliance/Surelog#3203.

To get the code:

git clone git@github.com:black-parrot/black-parrot
cd black-parrot
git submodule update --init --recursive

Here is a script which can successfully parse BlackParrot:
surelog.txt

bash surelog.txt

Here is a script which fails to link BlackParrot.

yosys.txt

yosys -s blackparrot.txt

Here is the tail of the output:

===================
[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 346
[   NOTE] : 258
Warning: black-parrot/bp_be/src/v/bp_be_calculator/bp_be_calculator_top.sv:400: Post-incrementation operations are handled as pre-incrementation.
Warning: black-parrot/bp_be/src/v/bp_be_calculator/bp_be_calculator_top.sv:458: Post-incrementation operations are handled as pre-incrementation.
Warning: black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache.sv:1018: Post-incrementation operations are handled as pre-incrementation.
Warning: black-parrot/bp_be/src/v/bp_be_checker/bp_be_detector.sv:142: Post-incrementation operations are handled as pre-incrementation.
Warning: black-parrot/bp_fe/src/v/bp_fe_icache.sv:502: Post-incrementation operations are handled as pre-incrementation.
Warning: black-parrot/external/basejump_stl/bsg_cache/bsg_cache.v:895: Post-incrementation operations are handled as pre-incrementation.
Warning: black-parrot/external/basejump_stl/bsg_cache/bsg_cache_miss.v:332: Post-incrementation operations are handled as pre-incrementation.
Warning: black-parrot/external/basejump_stl/bsg_cache/bsg_cache_miss.v:353: Post-incrementation operations are handled as pre-incrementation.
Warning: black-parrot/external/basejump_stl/bsg_cache/bsg_cache_miss.v:426: Post-incrementation operations are handled as pre-incrementation.
Warning: black-parrot/external/basejump_stl/bsg_misc/bsg_expand_bitmask.v:34: Post-incrementation operations are handled as pre-incrementation.
Warning: black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out.v:87: Post-incrementation operations are handled as pre-incrementation.
black-parrot/bp_common/src/include/bp_common_aviary_defines.svh:63: ERROR: Failed to detect width for parameter \proc_param_lp!

We can see 0 FATAL, SYNTAX or ERROR during parsing, but an ERROR during the linking step. bp_common_aviary_defines.svh is basically a macro which assigns localparams from a large localparam array. Oddly, the line in question is about halfway through the file and there doesn't appear to be anything special about it. I would expect the strategy to either completely succeed or completely fail. What could be going on here? Thanks!

@alaindargelas
Copy link
Collaborator

@rkapuscik it is an error coming from the plugin.

@dpetrisko
Copy link
Author

Hi, is there any additional information I could give to help debug this?

@alaindargelas
Copy link
Collaborator

@rkapuscik was out sick, should be back at it soon

@mglb
Copy link
Collaborator

mglb commented Sep 22, 2022

@dpetrisko file lists on the surelog script and the yosys script differ slightly. Files missing in the yosys script:

black-parrot/external/basejump_stl/bsg_misc/bsg_array_concentrate_static.v
black-parrot/external/basejump_stl/bsg_async/bsg_launch_sync_sync.v
black-parrot/external/basejump_stl/bsg_async/bsg_sync_sync.v

and there's extra black-parrot/external/basejump_stl/bsg_misc/bsg_defines.v. I've attached a bash script that can run either yosys or surelog (with bash process_design.txt yosys or bash process_design.txt surelog).
process_design.txt

Anyway, even with updated file list, I've reproduced your problem.
Can you run yosys -s blackparrot.txt 2>&1 | tee yosys.log and check whether there are FILE INDEX MISMATCH lines?
I got lines like these, from both scripts (yosys and surelog):

[INF:CP0303] black-parrot/external/HardFloat/source/addRecFN.v:204:1: Compile module "work@addRecFN".
[INF:CP0303] black-parrot/external/HardFloat/source/addRecFN.v:43:1: Compile module "work@addRecFNToRaw".
[INF:CP0303] black-parrot/bp_be/src/v/bp_be_calculator/bp_be_calculator_top.sv:18:1: Compile module "work@bp_be_calculator_top".
FILE INDEX MISMATCH
[ERR:CP0336] Internal out of bound error.
[INF:CP0303] black-parrot/bp_be/src/v/bp_be_checker/bp_be_cmd_queue.sv:5:1: Compile module "work@bp_be_cmd_queue".
FILE INDEX MISMATCH
[ERR:CP0336] Internal out of bound error.
FILE INDEX MISMATCH
FILE INDEX MISMATCH
FILE INDEX MISMATCH
FILE INDEX MISMATCH
[INF:CP0303] black-parrot/bp_be/src/v/bp_be_calculator/bp_be_csr.sv:5:1: Compile module "work@bp_be_csr".
FILE INDEX MISMATCH
[ERR:CP0336] Internal out of bound error.
FILE INDEX MISMATCH
[INF:CP0303] black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache.sv:91:1: Compile module "work@bp_be_dcache".
[INF:CP0303] black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache_decoder.sv:7:1: Compile module "work@bp_be_dcache_decoder".
[INF:CP0303] black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache_wbuf.sv:12:1: Compile module "work@bp_be_dcache_wbuf".
[INF:CP0303] black-parrot/bp_be/src/v/bp_be_checker/bp_be_detector.sv:17:1: Compile module "work@bp_be_detector".

FILE INDEX MISMATCH is printed to stderr from Surelog (https://github.com/chipsalliance/Surelog/blob/b4ad3fc02ef02ad162f34ac7d18c397e5fd6e9d9/src/Design/FileContent.cpp#L689). For some reason the error message added in a line above is not printed.

@dpetrisko
Copy link
Author

Hi yes, I also see these FILE INDEX MISMATCH lines

@dpetrisko
Copy link
Author

(In both yosys and surelog)

@alaindargelas
Copy link
Collaborator

alaindargelas commented Sep 23, 2022

The "FILE INDEX MISMATCH" is not the problem at all.
This is a simple debug message about file and line number. Not your concern. I'll ask the guy who introduced this new message in Surelog. It is irrelevant to the problem at hand. This is the problem:
black-parrot/bp_common/src/include/bp_common_aviary_defines.svh:63: ERROR: Failed to detect width for parameter \proc_param_lp!

please make sure your build of Surelog is up to date.
Also remove the cache in your current directory where you build the design: rm -rf slpp_unit slpp_all

@alaindargelas
Copy link
Collaborator

That is what I see in Surelog:

alain@alain-xps:~$ rm -rf slpp_all/
alain@alain-xps:~$ rm -rf slpp_unit/
alain@alain-xps:~$ source ./black.sh
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 0
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_cache/bsg_cache_pkg.v:9:1: No timescale set for "bsg_cache_pkg".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 4
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_mux.v:2:1: No timescale set for "bsg_mux".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mux__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_mux_segmented.v:9:1: No timescale set for "bsg_mux_segmented".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mux_segmented__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_circular_ptr.v:11:1: No timescale set for "bsg_circular_ptr".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_circular_ptr__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_dff.v:4:1: No timescale set for "bsg_dff".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_dff__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_dff_en.v:8:1: No timescale set for "bsg_dff_en".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_dff_en__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_dff_en_bypass.v:9:1: No timescale set for "bsg_dff_en_bypass".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_dff_en_bypass__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_counter_clear_up.v:8:1: No timescale set for "bsg_counter_clear_up".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_counter_clear_up__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_decode.v:9:1: No timescale set for "bsg_decode".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_decode__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_priority_encode.v:16:1: No timescale set for "bsg_priority_encode".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_priority_encode__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_lru_pseudo_tree_encode.v:31:1: No timescale set for "bsg_lru_pseudo_tree_encode".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_lru_psuedo_tree_encode__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_lru_pseudo_tree_decode.v:15:1: No timescale set for "bsg_lru_pseudo_tree_decode".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_lru_pseudo_tree_decode__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_lru_pseudo_tree_backup.v:46:1: No timescale set for "bsg_lru_pseudo_tree_backup".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_lru_pseudo_tree_backup__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_mux_bitwise.v:8:1: No timescale set for "bsg_mux_bitwise".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mux_bitwise__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_priority_encode_one_hot_out.v:12:1: No timescale set for "bsg_priority_encode_one_hot_out".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_priority_encode_one_hot_out__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_encode_one_hot.v:15:1: No timescale set for "bsg_encode_one_hot".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 4
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_scan.v:12:1: No timescale set for "bsg_scan".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_scan__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_expand_bitmask.v:24:1: No timescale set for "bsg_expand_bitmask".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_expand_bitmask__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_fsb/bsg_fsb_node_trace_replay.v:16:1: No timescale set for "bsg_fsb_node_trace_replay".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 4
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_mem/bsg_mem_1r1w_synth.v:13:1: No timescale set for "bsg_mem_1r1w_synth".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mem_1r1w_synth__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_mem/bsg_mem_1r1w.v:10:1: No timescale set for "bsg_mem_1r1w".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mem_1r1w__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_mem/bsg_mem_1rw_sync.v:9:1: No timescale set for "bsg_mem_1rw_sync".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mem_1rw_sync__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_mem/bsg_mem_1rw_sync_synth.v:11:1: No timescale set for "bsg_mem_1rw_sync_synth".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mem_1rw_sync_synth__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_synth.v:12:1: No timescale set for "bsg_mem_1rw_sync_mask_write_bit_synth".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mem_1rw_sync_mask_write_bit_synth__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_synth.v:6:1: No timescale set for "bsg_mem_1rw_sync_mask_write_byte_synth".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mem_1rw_sync_mask_write_byte_synth__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v:8:1: No timescale set for "bsg_mem_1rw_sync_mask_write_bit".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mem_1rw_sync_mask_write_bit__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v:3:1: No timescale set for "bsg_mem_1rw_sync_mask_write_byte".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mem_1rw_sync_mask_write_byte__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_dataflow/bsg_two_fifo.v:28:1: No timescale set for "bsg_two_fifo".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_two_fifo__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small.v:22:1: No timescale set for "bsg_fifo_1r1w_small".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_fifo_1r1w_small__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_unhardened.v:20:1: No timescale set for "bsg_fifo_1r1w_small_unhardened".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_fifo_1r1w_small_unhardened__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_dataflow/bsg_fifo_tracker.v:8:1: No timescale set for "bsg_fifo_tracker".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_fifo_tracker__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_cache/bsg_cache_decode.v:9:1: No timescale set for "bsg_cache_decode".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 4
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_cache/bsg_cache_dma.v:13:1: No timescale set for "bsg_cache_dma".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_cache_dma__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_cache/bsg_cache_miss.v:13:1: No timescale set for "bsg_cache_miss".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_cache_miss__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_cache/bsg_cache_sbuf.v:18:1: No timescale set for "bsg_cache_sbuf".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_cache_sbuf__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_cache/bsg_cache_sbuf_queue.v:14:1: No timescale set for "bsg_cache_sbuf_queue".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_cache_sbuf_queue__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_cache/bsg_cache.v:18:1: No timescale set for "bsg_cache".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_cache__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PA0205] basejump_stl/bsg_misc/bsg_mux.v:2:1: No timescale set for "bsg_mux".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mux__abstract".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_dff_en.v:8:1: No timescale set for "bsg_dff_en".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_dff_en__abstract".

[WRN:PA0205] basejump_stl/bsg_mem/bsg_mem_1r1w.v:10:1: No timescale set for "bsg_mem_1r1w".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mem_1r1w__abstract".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_mux_bitwise.v:8:1: No timescale set for "bsg_mux_bitwise".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mux_bitwise__abstract".

[WRN:PA0205] basejump_stl/bsg_dataflow/bsg_fifo_tracker.v:8:1: No timescale set for "bsg_fifo_tracker".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_fifo_tracker__abstract".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_counter_clear_up.v:8:1: No timescale set for "bsg_counter_clear_up".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_counter_clear_up__abstract".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_decode.v:9:1: No timescale set for "bsg_decode".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_decode__abstract".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_priority_encode_one_hot_out.v:12:1: No timescale set for "bsg_priority_encode_one_hot_out".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_priority_encode_one_hot_out__abstract".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_mux_segmented.v:9:1: No timescale set for "bsg_mux_segmented".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mux_segmented__abstract".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_encode_one_hot.v:15:1: No timescale set for "bsg_encode_one_hot".

[WRN:PA0205] basejump_stl/bsg_fsb/bsg_fsb_node_trace_replay.v:16:1: No timescale set for "bsg_fsb_node_trace_replay".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_expand_bitmask.v:24:1: No timescale set for "bsg_expand_bitmask".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_expand_bitmask__abstract".

[WRN:PA0205] basejump_stl/bsg_cache/bsg_cache_pkg.v:9:1: No timescale set for "bsg_cache_pkg".

[WRN:PA0205] basejump_stl/bsg_cache/bsg_cache_sbuf_queue.v:14:1: No timescale set for "bsg_cache_sbuf_queue".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_cache_sbuf_queue__abstract".

[WRN:PA0205] basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v:8:1: No timescale set for "bsg_mem_1rw_sync_mask_write_bit".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mem_1rw_sync_mask_write_bit__abstract".

[WRN:PA0205] basejump_stl/bsg_dataflow/bsg_two_fifo.v:28:1: No timescale set for "bsg_two_fifo".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_two_fifo__abstract".

[WRN:PA0205] basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_synth.v:12:1: No timescale set for "bsg_mem_1rw_sync_mask_write_bit_synth".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mem_1rw_sync_mask_write_bit_synth__abstract".

[WRN:PA0205] basejump_stl/bsg_cache/bsg_cache_sbuf.v:18:1: No timescale set for "bsg_cache_sbuf".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_cache_sbuf__abstract".

[WRN:PA0205] basejump_stl/bsg_cache/bsg_cache_miss.v:13:1: No timescale set for "bsg_cache_miss".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_cache_miss__abstract".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_dff.v:4:1: No timescale set for "bsg_dff".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_dff__abstract".

[WRN:PA0205] basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v:3:1: No timescale set for "bsg_mem_1rw_sync_mask_write_byte".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mem_1rw_sync_mask_write_byte__abstract".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_circular_ptr.v:11:1: No timescale set for "bsg_circular_ptr".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_circular_ptr__abstract".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_lru_pseudo_tree_decode.v:15:1: No timescale set for "bsg_lru_pseudo_tree_decode".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_lru_pseudo_tree_decode__abstract".

[WRN:PA0205] basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_synth.v:6:1: No timescale set for "bsg_mem_1rw_sync_mask_write_byte_synth".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mem_1rw_sync_mask_write_byte_synth__abstract".

[WRN:PA0205] basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_unhardened.v:20:1: No timescale set for "bsg_fifo_1r1w_small_unhardened".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_fifo_1r1w_small_unhardened__abstract".

[WRN:PA0205] basejump_stl/bsg_cache/bsg_cache_dma.v:13:1: No timescale set for "bsg_cache_dma".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_cache_dma__abstract".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_priority_encode.v:16:1: No timescale set for "bsg_priority_encode".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_priority_encode__abstract".

[WRN:PA0205] basejump_stl/bsg_cache/bsg_cache.v:18:1: No timescale set for "bsg_cache".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_cache__abstract".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_dff_en_bypass.v:9:1: No timescale set for "bsg_dff_en_bypass".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_dff_en_bypass__abstract".

[WRN:PA0205] basejump_stl/bsg_cache/bsg_cache_decode.v:9:1: No timescale set for "bsg_cache_decode".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_lru_pseudo_tree_encode.v:31:1: No timescale set for "bsg_lru_pseudo_tree_encode".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_lru_psuedo_tree_encode__abstract".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_lru_pseudo_tree_backup.v:46:1: No timescale set for "bsg_lru_pseudo_tree_backup".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_lru_pseudo_tree_backup__abstract".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_scan.v:12:1: No timescale set for "bsg_scan".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_scan__abstract".

[WRN:PA0205] basejump_stl/bsg_mem/bsg_mem_1rw_sync.v:9:1: No timescale set for "bsg_mem_1rw_sync".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mem_1rw_sync__abstract".

[WRN:PA0205] basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small.v:22:1: No timescale set for "bsg_fifo_1r1w_small".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_fifo_1r1w_small__abstract".

[WRN:PA0205] basejump_stl/bsg_mem/bsg_mem_1r1w_synth.v:13:1: No timescale set for "bsg_mem_1r1w_synth".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mem_1r1w_synth__abstract".

[WRN:PA0205] basejump_stl/bsg_mem/bsg_mem_1rw_sync_synth.v:11:1: No timescale set for "bsg_mem_1rw_sync_synth".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mem_1rw_sync_synth__abstract".

[INF:CP0300] Compilation...

[INF:CP0301] basejump_stl/bsg_cache/bsg_cache_pkg.v:9:1: Compile package "bsg_cache_pkg".

[INF:CP0303] basejump_stl/bsg_cache/bsg_cache.v:18:1: Compile module "work@bsg_cache".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_cache__abstract".

[INF:CP0303] basejump_stl/bsg_cache/bsg_cache_decode.v:9:1: Compile module "work@bsg_cache_decode".

[INF:CP0303] basejump_stl/bsg_cache/bsg_cache_dma.v:13:1: Compile module "work@bsg_cache_dma".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_cache_dma__abstract".

[INF:CP0303] basejump_stl/bsg_cache/bsg_cache_miss.v:13:1: Compile module "work@bsg_cache_miss".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_cache_miss__abstract".

[INF:CP0303] basejump_stl/bsg_cache/bsg_cache_sbuf.v:18:1: Compile module "work@bsg_cache_sbuf".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_cache_sbuf__abstract".

[INF:CP0303] basejump_stl/bsg_cache/bsg_cache_sbuf_queue.v:14:1: Compile module "work@bsg_cache_sbuf_queue".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_cache_sbuf_queue__abstract".

[INF:CP0303] basejump_stl/bsg_misc/bsg_circular_ptr.v:11:1: Compile module "work@bsg_circular_ptr".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_circular_ptr__abstract".

[INF:CP0303] basejump_stl/bsg_misc/bsg_counter_clear_up.v:8:1: Compile module "work@bsg_counter_clear_up".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_counter_clear_up__abstract".

[INF:CP0303] basejump_stl/bsg_misc/bsg_decode.v:9:1: Compile module "work@bsg_decode".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_decode__abstract".

[INF:CP0303] basejump_stl/bsg_misc/bsg_dff.v:4:1: Compile module "work@bsg_dff".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_dff__abstract".

[INF:CP0303] basejump_stl/bsg_misc/bsg_dff_en.v:8:1: Compile module "work@bsg_dff_en".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_dff_en__abstract".

[INF:CP0303] basejump_stl/bsg_misc/bsg_dff_en_bypass.v:9:1: Compile module "work@bsg_dff_en_bypass".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_dff_en_bypass__abstract".

[INF:CP0303] basejump_stl/bsg_misc/bsg_encode_one_hot.v:15:1: Compile module "work@bsg_encode_one_hot".

[INF:CP0303] basejump_stl/bsg_misc/bsg_expand_bitmask.v:24:1: Compile module "work@bsg_expand_bitmask".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_expand_bitmask__abstract".

[INF:CP0303] basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small.v:22:1: Compile module "work@bsg_fifo_1r1w_small".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_fifo_1r1w_small__abstract".

[INF:CP0303] basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_unhardened.v:20:1: Compile module "work@bsg_fifo_1r1w_small_unhardened".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_fifo_1r1w_small_unhardened__abstract".

[INF:CP0303] basejump_stl/bsg_dataflow/bsg_fifo_tracker.v:8:1: Compile module "work@bsg_fifo_tracker".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_fifo_tracker__abstract".

[INF:CP0303] basejump_stl/bsg_fsb/bsg_fsb_node_trace_replay.v:16:1: Compile module "work@bsg_fsb_node_trace_replay".

[INF:CP0303] basejump_stl/bsg_misc/bsg_lru_pseudo_tree_backup.v:46:1: Compile module "work@bsg_lru_pseudo_tree_backup".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_lru_pseudo_tree_backup__abstract".

[INF:CP0303] basejump_stl/bsg_misc/bsg_lru_pseudo_tree_decode.v:15:1: Compile module "work@bsg_lru_pseudo_tree_decode".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_lru_pseudo_tree_decode__abstract".

[INF:CP0303] basejump_stl/bsg_misc/bsg_lru_pseudo_tree_encode.v:31:1: Compile module "work@bsg_lru_pseudo_tree_encode".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_lru_psuedo_tree_encode__abstract".

[INF:CP0303] basejump_stl/bsg_mem/bsg_mem_1r1w.v:10:1: Compile module "work@bsg_mem_1r1w".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_mem_1r1w__abstract".

[INF:CP0303] basejump_stl/bsg_mem/bsg_mem_1r1w_synth.v:13:1: Compile module "work@bsg_mem_1r1w_synth".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_mem_1r1w_synth__abstract".

[INF:CP0303] basejump_stl/bsg_mem/bsg_mem_1rw_sync.v:9:1: Compile module "work@bsg_mem_1rw_sync".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_mem_1rw_sync__abstract".

[INF:CP0303] basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v:8:1: Compile module "work@bsg_mem_1rw_sync_mask_write_bit".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_mem_1rw_sync_mask_write_bit__abstract".

[INF:CP0303] basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_synth.v:12:1: Compile module "work@bsg_mem_1rw_sync_mask_write_bit_synth".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_mem_1rw_sync_mask_write_bit_synth__abstract".

[INF:CP0303] basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v:3:1: Compile module "work@bsg_mem_1rw_sync_mask_write_byte".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_mem_1rw_sync_mask_write_byte__abstract".

[INF:CP0303] basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_synth.v:6:1: Compile module "work@bsg_mem_1rw_sync_mask_write_byte_synth".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_mem_1rw_sync_mask_write_byte_synth__abstract".

[INF:CP0303] basejump_stl/bsg_mem/bsg_mem_1rw_sync_synth.v:11:1: Compile module "work@bsg_mem_1rw_sync_synth".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_mem_1rw_sync_synth__abstract".

[INF:CP0303] basejump_stl/bsg_misc/bsg_mux.v:2:1: Compile module "work@bsg_mux".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_mux__abstract".

[INF:CP0303] basejump_stl/bsg_misc/bsg_mux_bitwise.v:8:1: Compile module "work@bsg_mux_bitwise".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_mux_bitwise__abstract".

[INF:CP0303] basejump_stl/bsg_misc/bsg_mux_segmented.v:9:1: Compile module "work@bsg_mux_segmented".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_mux_segmented__abstract".

[INF:CP0303] basejump_stl/bsg_misc/bsg_priority_encode.v:16:1: Compile module "work@bsg_priority_encode".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_priority_encode__abstract".

[INF:CP0303] basejump_stl/bsg_misc/bsg_priority_encode_one_hot_out.v:12:1: Compile module "work@bsg_priority_encode_one_hot_out".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_priority_encode_one_hot_out__abstract".

[INF:CP0303] basejump_stl/bsg_misc/bsg_scan.v:12:1: Compile module "work@bsg_scan".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_scan__abstract".

[INF:CP0303] basejump_stl/bsg_dataflow/bsg_two_fifo.v:28:1: Compile module "work@bsg_two_fifo".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_two_fifo__abstract".

[INF:CP0302] Compile class "work@mailbox".

[INF:CP0302] Compile class "work@process".

[INF:CP0302] Compile class "work@semaphore".

[NTE:CP0309] basejump_stl/bsg_misc/bsg_circular_ptr.v:19:33: Implicit port type (wire) for "o",
there are 1 more instances of this message.

[NTE:CP0309] basejump_stl/bsg_misc/bsg_dff.v:10:27: Implicit port type (wire) for "data_o".

[NTE:CP0309] basejump_stl/bsg_misc/bsg_encode_one_hot.v:17:58: Implicit port type (wire) for "addr_o",
there are 1 more instances of this message.

[NTE:CP0309] basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small.v:31:28: Implicit port type (wire) for "ready_o",
there are 2 more instances of this message.

[NTE:CP0309] basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_unhardened.v:28:28: Implicit port type (wire) for "ready_o",
there are 2 more instances of this message.

[NTE:CP0309] basejump_stl/bsg_dataflow/bsg_fifo_tracker.v:17:33: Implicit port type (wire) for "wptr_r_o",
there are 4 more instances of this message.

[NTE:CP0309] basejump_stl/bsg_fsb/bsg_fsb_node_trace_replay.v:39:37: Implicit port type (wire) for "rom_addr_o".

[NTE:CP0309] basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v:21:59: Implicit port type (wire) for "data_o".

[NTE:CP0309] basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_synth.v:25:66: Implicit port type (wire) for "data_o".

[NTE:CP0309] basejump_stl/bsg_misc/bsg_mux.v:11:27: Implicit port type (wire) for "data_o".

[NTE:CP0309] basejump_stl/bsg_misc/bsg_priority_encode.v:21:62: Implicit port type (wire) for "addr_o",
there are 1 more instances of this message.

[NTE:CP0309] basejump_stl/bsg_misc/bsg_priority_encode_one_hot_out.v:17:28: Implicit port type (wire) for "o",
there are 1 more instances of this message.

[NTE:CP0309] basejump_stl/bsg_dataflow/bsg_two_fifo.v:40:27: Implicit port type (wire) for "ready_o",
there are 2 more instances of this message.

[INF:EL0526] Design Elaboration...

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_mux__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_dff_en__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_mem_1r1w__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_mux_bitwise__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_fifo_tracker__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_counter_clear_up__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_decode__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_priority_encode_one_hot_out__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_mux_segmented__abstract".

[NTE:EL0503] basejump_stl/bsg_fsb/bsg_fsb_node_trace_replay.v:16:1: Top level module "work@bsg_fsb_node_trace_replay".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_expand_bitmask__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_cache_sbuf_queue__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_mem_1rw_sync_mask_write_bit__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_two_fifo__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_mem_1rw_sync_mask_write_bit_synth__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_cache_sbuf__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_cache_miss__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_dff__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_mem_1rw_sync_mask_write_byte__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_circular_ptr__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_lru_pseudo_tree_decode__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_mem_1rw_sync_mask_write_byte_synth__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_fifo_1r1w_small_unhardened__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_cache_dma__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_priority_encode__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_cache__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_dff_en_bypass__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_lru_psuedo_tree_encode__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_lru_pseudo_tree_backup__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_scan__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_mem_1rw_sync__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_fifo_1r1w_small__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_mem_1r1w_synth__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_mem_1rw_sync_synth__abstract".

[NTE:EL0504] Multiple top level modules in design.

[NTE:EL0508] Nb Top level modules: 34.

[NTE:EL0509] Max instance depth: 1.

[NTE:EL0510] Nb instances: 34.

[NTE:EL0511] Nb leaf instances: 33.

[INF:UH0706] Creating UHDM Model...

[INF:UH0708] Writing UHDM DB: ./slpp_all/surelog.uhdm ...

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 70
[   NOTE] : 52
alain@alain-xps:~$ source ./black.sh
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 0
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_cache/bsg_cache_pkg.v:9:1: No timescale set for "bsg_cache_pkg".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 4
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_mux.v:2:1: No timescale set for "bsg_mux".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mux__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_mux_segmented.v:9:1: No timescale set for "bsg_mux_segmented".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mux_segmented__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_circular_ptr.v:11:1: No timescale set for "bsg_circular_ptr".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_circular_ptr__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_dff.v:4:1: No timescale set for "bsg_dff".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_dff__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_dff_en.v:8:1: No timescale set for "bsg_dff_en".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_dff_en__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_dff_en_bypass.v:9:1: No timescale set for "bsg_dff_en_bypass".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_dff_en_bypass__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_counter_clear_up.v:8:1: No timescale set for "bsg_counter_clear_up".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_counter_clear_up__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_decode.v:9:1: No timescale set for "bsg_decode".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_decode__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_priority_encode.v:16:1: No timescale set for "bsg_priority_encode".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_priority_encode__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_lru_pseudo_tree_encode.v:31:1: No timescale set for "bsg_lru_pseudo_tree_encode".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_lru_psuedo_tree_encode__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_lru_pseudo_tree_decode.v:15:1: No timescale set for "bsg_lru_pseudo_tree_decode".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_lru_pseudo_tree_decode__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_lru_pseudo_tree_backup.v:46:1: No timescale set for "bsg_lru_pseudo_tree_backup".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_lru_pseudo_tree_backup__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_mux_bitwise.v:8:1: No timescale set for "bsg_mux_bitwise".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mux_bitwise__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_priority_encode_one_hot_out.v:12:1: No timescale set for "bsg_priority_encode_one_hot_out".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_priority_encode_one_hot_out__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_encode_one_hot.v:15:1: No timescale set for "bsg_encode_one_hot".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 4
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_scan.v:12:1: No timescale set for "bsg_scan".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_scan__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_expand_bitmask.v:24:1: No timescale set for "bsg_expand_bitmask".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_expand_bitmask__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_fsb/bsg_fsb_node_trace_replay.v:16:1: No timescale set for "bsg_fsb_node_trace_replay".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 4
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_mem/bsg_mem_1r1w_synth.v:13:1: No timescale set for "bsg_mem_1r1w_synth".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mem_1r1w_synth__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_mem/bsg_mem_1r1w.v:10:1: No timescale set for "bsg_mem_1r1w".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mem_1r1w__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_mem/bsg_mem_1rw_sync.v:9:1: No timescale set for "bsg_mem_1rw_sync".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mem_1rw_sync__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_mem/bsg_mem_1rw_sync_synth.v:11:1: No timescale set for "bsg_mem_1rw_sync_synth".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mem_1rw_sync_synth__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_synth.v:12:1: No timescale set for "bsg_mem_1rw_sync_mask_write_bit_synth".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mem_1rw_sync_mask_write_bit_synth__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_synth.v:6:1: No timescale set for "bsg_mem_1rw_sync_mask_write_byte_synth".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mem_1rw_sync_mask_write_byte_synth__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v:8:1: No timescale set for "bsg_mem_1rw_sync_mask_write_bit".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mem_1rw_sync_mask_write_bit__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v:3:1: No timescale set for "bsg_mem_1rw_sync_mask_write_byte".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mem_1rw_sync_mask_write_byte__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_dataflow/bsg_two_fifo.v:28:1: No timescale set for "bsg_two_fifo".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_two_fifo__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small.v:22:1: No timescale set for "bsg_fifo_1r1w_small".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_fifo_1r1w_small__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_unhardened.v:20:1: No timescale set for "bsg_fifo_1r1w_small_unhardened".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_fifo_1r1w_small_unhardened__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_dataflow/bsg_fifo_tracker.v:8:1: No timescale set for "bsg_fifo_tracker".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_fifo_tracker__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_cache/bsg_cache_decode.v:9:1: No timescale set for "bsg_cache_decode".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 4
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_cache/bsg_cache_dma.v:13:1: No timescale set for "bsg_cache_dma".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_cache_dma__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_cache/bsg_cache_miss.v:13:1: No timescale set for "bsg_cache_miss".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_cache_miss__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_cache/bsg_cache_sbuf.v:18:1: No timescale set for "bsg_cache_sbuf".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_cache_sbuf__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_cache/bsg_cache_sbuf_queue.v:14:1: No timescale set for "bsg_cache_sbuf_queue".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_cache_sbuf_queue__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:59:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:73:9: Unused macro argument "val".

[WRN:PP0113] basejump_stl/bsg_misc/bsg_defines.v:124:9: Unused macro argument "x".

[WRN:PA0205] basejump_stl/bsg_cache/bsg_cache.v:18:1: No timescale set for "bsg_cache".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_cache__abstract".

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 5
[   NOTE] : 0
[INF:CM0023] Creating log file ./slpp_all/surelog.log.

[WRN:PA0205] basejump_stl/bsg_misc/bsg_mux.v:2:1: No timescale set for "bsg_mux".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mux__abstract".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_dff_en.v:8:1: No timescale set for "bsg_dff_en".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_dff_en__abstract".

[WRN:PA0205] basejump_stl/bsg_mem/bsg_mem_1r1w.v:10:1: No timescale set for "bsg_mem_1r1w".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mem_1r1w__abstract".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_mux_bitwise.v:8:1: No timescale set for "bsg_mux_bitwise".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mux_bitwise__abstract".

[WRN:PA0205] basejump_stl/bsg_dataflow/bsg_fifo_tracker.v:8:1: No timescale set for "bsg_fifo_tracker".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_fifo_tracker__abstract".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_counter_clear_up.v:8:1: No timescale set for "bsg_counter_clear_up".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_counter_clear_up__abstract".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_decode.v:9:1: No timescale set for "bsg_decode".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_decode__abstract".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_priority_encode_one_hot_out.v:12:1: No timescale set for "bsg_priority_encode_one_hot_out".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_priority_encode_one_hot_out__abstract".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_mux_segmented.v:9:1: No timescale set for "bsg_mux_segmented".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mux_segmented__abstract".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_encode_one_hot.v:15:1: No timescale set for "bsg_encode_one_hot".

[WRN:PA0205] basejump_stl/bsg_fsb/bsg_fsb_node_trace_replay.v:16:1: No timescale set for "bsg_fsb_node_trace_replay".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_expand_bitmask.v:24:1: No timescale set for "bsg_expand_bitmask".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_expand_bitmask__abstract".

[WRN:PA0205] basejump_stl/bsg_cache/bsg_cache_pkg.v:9:1: No timescale set for "bsg_cache_pkg".

[WRN:PA0205] basejump_stl/bsg_cache/bsg_cache_sbuf_queue.v:14:1: No timescale set for "bsg_cache_sbuf_queue".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_cache_sbuf_queue__abstract".

[WRN:PA0205] basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v:8:1: No timescale set for "bsg_mem_1rw_sync_mask_write_bit".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mem_1rw_sync_mask_write_bit__abstract".

[WRN:PA0205] basejump_stl/bsg_dataflow/bsg_two_fifo.v:28:1: No timescale set for "bsg_two_fifo".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_two_fifo__abstract".

[WRN:PA0205] basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_synth.v:12:1: No timescale set for "bsg_mem_1rw_sync_mask_write_bit_synth".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mem_1rw_sync_mask_write_bit_synth__abstract".

[WRN:PA0205] basejump_stl/bsg_cache/bsg_cache_sbuf.v:18:1: No timescale set for "bsg_cache_sbuf".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_cache_sbuf__abstract".

[WRN:PA0205] basejump_stl/bsg_cache/bsg_cache_miss.v:13:1: No timescale set for "bsg_cache_miss".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_cache_miss__abstract".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_dff.v:4:1: No timescale set for "bsg_dff".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_dff__abstract".

[WRN:PA0205] basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v:3:1: No timescale set for "bsg_mem_1rw_sync_mask_write_byte".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mem_1rw_sync_mask_write_byte__abstract".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_circular_ptr.v:11:1: No timescale set for "bsg_circular_ptr".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_circular_ptr__abstract".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_lru_pseudo_tree_decode.v:15:1: No timescale set for "bsg_lru_pseudo_tree_decode".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_lru_pseudo_tree_decode__abstract".

[WRN:PA0205] basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_synth.v:6:1: No timescale set for "bsg_mem_1rw_sync_mask_write_byte_synth".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mem_1rw_sync_mask_write_byte_synth__abstract".

[WRN:PA0205] basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_unhardened.v:20:1: No timescale set for "bsg_fifo_1r1w_small_unhardened".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_fifo_1r1w_small_unhardened__abstract".

[WRN:PA0205] basejump_stl/bsg_cache/bsg_cache_dma.v:13:1: No timescale set for "bsg_cache_dma".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_cache_dma__abstract".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_priority_encode.v:16:1: No timescale set for "bsg_priority_encode".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_priority_encode__abstract".

[WRN:PA0205] basejump_stl/bsg_cache/bsg_cache.v:18:1: No timescale set for "bsg_cache".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_cache__abstract".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_dff_en_bypass.v:9:1: No timescale set for "bsg_dff_en_bypass".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_dff_en_bypass__abstract".

[WRN:PA0205] basejump_stl/bsg_cache/bsg_cache_decode.v:9:1: No timescale set for "bsg_cache_decode".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_lru_pseudo_tree_encode.v:31:1: No timescale set for "bsg_lru_pseudo_tree_encode".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_lru_psuedo_tree_encode__abstract".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_lru_pseudo_tree_backup.v:46:1: No timescale set for "bsg_lru_pseudo_tree_backup".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_lru_pseudo_tree_backup__abstract".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_scan.v:12:1: No timescale set for "bsg_scan".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_scan__abstract".

[WRN:PA0205] basejump_stl/bsg_mem/bsg_mem_1rw_sync.v:9:1: No timescale set for "bsg_mem_1rw_sync".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mem_1rw_sync__abstract".

[WRN:PA0205] basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small.v:22:1: No timescale set for "bsg_fifo_1r1w_small".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_fifo_1r1w_small__abstract".

[WRN:PA0205] basejump_stl/bsg_mem/bsg_mem_1r1w_synth.v:13:1: No timescale set for "bsg_mem_1r1w_synth".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mem_1r1w_synth__abstract".

[WRN:PA0205] basejump_stl/bsg_mem/bsg_mem_1rw_sync_synth.v:11:1: No timescale set for "bsg_mem_1rw_sync_synth".

[WRN:PA0205] basejump_stl/bsg_misc/bsg_defines.v:29:5: No timescale set for "bsg_mem_1rw_sync_synth__abstract".

[INF:CP0300] Compilation...

[INF:CP0301] basejump_stl/bsg_cache/bsg_cache_pkg.v:9:1: Compile package "bsg_cache_pkg".

[INF:CP0303] basejump_stl/bsg_cache/bsg_cache.v:18:1: Compile module "work@bsg_cache".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_cache__abstract".

[INF:CP0303] basejump_stl/bsg_cache/bsg_cache_decode.v:9:1: Compile module "work@bsg_cache_decode".

[INF:CP0303] basejump_stl/bsg_cache/bsg_cache_dma.v:13:1: Compile module "work@bsg_cache_dma".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_cache_dma__abstract".

[INF:CP0303] basejump_stl/bsg_cache/bsg_cache_miss.v:13:1: Compile module "work@bsg_cache_miss".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_cache_miss__abstract".

[INF:CP0303] basejump_stl/bsg_cache/bsg_cache_sbuf.v:18:1: Compile module "work@bsg_cache_sbuf".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_cache_sbuf__abstract".

[INF:CP0303] basejump_stl/bsg_cache/bsg_cache_sbuf_queue.v:14:1: Compile module "work@bsg_cache_sbuf_queue".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_cache_sbuf_queue__abstract".

[INF:CP0303] basejump_stl/bsg_misc/bsg_circular_ptr.v:11:1: Compile module "work@bsg_circular_ptr".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_circular_ptr__abstract".

[INF:CP0303] basejump_stl/bsg_misc/bsg_counter_clear_up.v:8:1: Compile module "work@bsg_counter_clear_up".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_counter_clear_up__abstract".

[INF:CP0303] basejump_stl/bsg_misc/bsg_decode.v:9:1: Compile module "work@bsg_decode".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_decode__abstract".

[INF:CP0303] basejump_stl/bsg_misc/bsg_dff.v:4:1: Compile module "work@bsg_dff".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_dff__abstract".

[INF:CP0303] basejump_stl/bsg_misc/bsg_dff_en.v:8:1: Compile module "work@bsg_dff_en".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_dff_en__abstract".

[INF:CP0303] basejump_stl/bsg_misc/bsg_dff_en_bypass.v:9:1: Compile module "work@bsg_dff_en_bypass".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_dff_en_bypass__abstract".

[INF:CP0303] basejump_stl/bsg_misc/bsg_encode_one_hot.v:15:1: Compile module "work@bsg_encode_one_hot".

[INF:CP0303] basejump_stl/bsg_misc/bsg_expand_bitmask.v:24:1: Compile module "work@bsg_expand_bitmask".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_expand_bitmask__abstract".

[INF:CP0303] basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small.v:22:1: Compile module "work@bsg_fifo_1r1w_small".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_fifo_1r1w_small__abstract".

[INF:CP0303] basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_unhardened.v:20:1: Compile module "work@bsg_fifo_1r1w_small_unhardened".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_fifo_1r1w_small_unhardened__abstract".

[INF:CP0303] basejump_stl/bsg_dataflow/bsg_fifo_tracker.v:8:1: Compile module "work@bsg_fifo_tracker".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_fifo_tracker__abstract".

[INF:CP0303] basejump_stl/bsg_fsb/bsg_fsb_node_trace_replay.v:16:1: Compile module "work@bsg_fsb_node_trace_replay".

[INF:CP0303] basejump_stl/bsg_misc/bsg_lru_pseudo_tree_backup.v:46:1: Compile module "work@bsg_lru_pseudo_tree_backup".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_lru_pseudo_tree_backup__abstract".

[INF:CP0303] basejump_stl/bsg_misc/bsg_lru_pseudo_tree_decode.v:15:1: Compile module "work@bsg_lru_pseudo_tree_decode".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_lru_pseudo_tree_decode__abstract".

[INF:CP0303] basejump_stl/bsg_misc/bsg_lru_pseudo_tree_encode.v:31:1: Compile module "work@bsg_lru_pseudo_tree_encode".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_lru_psuedo_tree_encode__abstract".

[INF:CP0303] basejump_stl/bsg_mem/bsg_mem_1r1w.v:10:1: Compile module "work@bsg_mem_1r1w".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_mem_1r1w__abstract".

[INF:CP0303] basejump_stl/bsg_mem/bsg_mem_1r1w_synth.v:13:1: Compile module "work@bsg_mem_1r1w_synth".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_mem_1r1w_synth__abstract".

[INF:CP0303] basejump_stl/bsg_mem/bsg_mem_1rw_sync.v:9:1: Compile module "work@bsg_mem_1rw_sync".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_mem_1rw_sync__abstract".

[INF:CP0303] basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v:8:1: Compile module "work@bsg_mem_1rw_sync_mask_write_bit".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_mem_1rw_sync_mask_write_bit__abstract".

[INF:CP0303] basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_synth.v:12:1: Compile module "work@bsg_mem_1rw_sync_mask_write_bit_synth".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_mem_1rw_sync_mask_write_bit_synth__abstract".

[INF:CP0303] basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v:3:1: Compile module "work@bsg_mem_1rw_sync_mask_write_byte".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_mem_1rw_sync_mask_write_byte__abstract".

[INF:CP0303] basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_synth.v:6:1: Compile module "work@bsg_mem_1rw_sync_mask_write_byte_synth".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_mem_1rw_sync_mask_write_byte_synth__abstract".

[INF:CP0303] basejump_stl/bsg_mem/bsg_mem_1rw_sync_synth.v:11:1: Compile module "work@bsg_mem_1rw_sync_synth".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_mem_1rw_sync_synth__abstract".

[INF:CP0303] basejump_stl/bsg_misc/bsg_mux.v:2:1: Compile module "work@bsg_mux".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_mux__abstract".

[INF:CP0303] basejump_stl/bsg_misc/bsg_mux_bitwise.v:8:1: Compile module "work@bsg_mux_bitwise".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_mux_bitwise__abstract".

[INF:CP0303] basejump_stl/bsg_misc/bsg_mux_segmented.v:9:1: Compile module "work@bsg_mux_segmented".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_mux_segmented__abstract".

[INF:CP0303] basejump_stl/bsg_misc/bsg_priority_encode.v:16:1: Compile module "work@bsg_priority_encode".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_priority_encode__abstract".

[INF:CP0303] basejump_stl/bsg_misc/bsg_priority_encode_one_hot_out.v:12:1: Compile module "work@bsg_priority_encode_one_hot_out".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_priority_encode_one_hot_out__abstract".

[INF:CP0303] basejump_stl/bsg_misc/bsg_scan.v:12:1: Compile module "work@bsg_scan".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_scan__abstract".

[INF:CP0303] basejump_stl/bsg_dataflow/bsg_two_fifo.v:28:1: Compile module "work@bsg_two_fifo".

[INF:CP0303] basejump_stl/bsg_misc/bsg_defines.v:29:5: Compile module "work@bsg_two_fifo__abstract".

[INF:CP0302] Compile class "work@mailbox".

[INF:CP0302] Compile class "work@process".

[INF:CP0302] Compile class "work@semaphore".

[NTE:CP0309] basejump_stl/bsg_misc/bsg_circular_ptr.v:19:33: Implicit port type (wire) for "o",
there are 1 more instances of this message.

[NTE:CP0309] basejump_stl/bsg_misc/bsg_dff.v:10:27: Implicit port type (wire) for "data_o".

[NTE:CP0309] basejump_stl/bsg_misc/bsg_encode_one_hot.v:17:58: Implicit port type (wire) for "addr_o",
there are 1 more instances of this message.

[NTE:CP0309] basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small.v:31:28: Implicit port type (wire) for "ready_o",
there are 2 more instances of this message.

[NTE:CP0309] basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_unhardened.v:28:28: Implicit port type (wire) for "ready_o",
there are 2 more instances of this message.

[NTE:CP0309] basejump_stl/bsg_dataflow/bsg_fifo_tracker.v:17:33: Implicit port type (wire) for "wptr_r_o",
there are 4 more instances of this message.

[NTE:CP0309] basejump_stl/bsg_fsb/bsg_fsb_node_trace_replay.v:39:37: Implicit port type (wire) for "rom_addr_o".

[NTE:CP0309] basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v:21:59: Implicit port type (wire) for "data_o".

[NTE:CP0309] basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_synth.v:25:66: Implicit port type (wire) for "data_o".

[NTE:CP0309] basejump_stl/bsg_misc/bsg_mux.v:11:27: Implicit port type (wire) for "data_o".

[NTE:CP0309] basejump_stl/bsg_misc/bsg_priority_encode.v:21:62: Implicit port type (wire) for "addr_o",
there are 1 more instances of this message.

[NTE:CP0309] basejump_stl/bsg_misc/bsg_priority_encode_one_hot_out.v:17:28: Implicit port type (wire) for "o",
there are 1 more instances of this message.

[NTE:CP0309] basejump_stl/bsg_dataflow/bsg_two_fifo.v:40:27: Implicit port type (wire) for "ready_o",
there are 2 more instances of this message.

[INF:EL0526] Design Elaboration...

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_mux__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_dff_en__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_mem_1r1w__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_mux_bitwise__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_fifo_tracker__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_counter_clear_up__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_decode__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_priority_encode_one_hot_out__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_mux_segmented__abstract".

[NTE:EL0503] basejump_stl/bsg_fsb/bsg_fsb_node_trace_replay.v:16:1: Top level module "work@bsg_fsb_node_trace_replay".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_expand_bitmask__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_cache_sbuf_queue__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_mem_1rw_sync_mask_write_bit__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_two_fifo__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_mem_1rw_sync_mask_write_bit_synth__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_cache_sbuf__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_cache_miss__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_dff__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_mem_1rw_sync_mask_write_byte__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_circular_ptr__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_lru_pseudo_tree_decode__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_mem_1rw_sync_mask_write_byte_synth__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_fifo_1r1w_small_unhardened__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_cache_dma__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_priority_encode__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_cache__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_dff_en_bypass__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_lru_psuedo_tree_encode__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_lru_pseudo_tree_backup__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_scan__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_mem_1rw_sync__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_fifo_1r1w_small__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_mem_1r1w_synth__abstract".

[NTE:EL0503] basejump_stl/bsg_misc/bsg_defines.v:29:5: Top level module "work@bsg_mem_1rw_sync_synth__abstract".

[NTE:EL0504] Multiple top level modules in design.

[NTE:EL0508] Nb Top level modules: 34.

[NTE:EL0509] Max instance depth: 1.

[NTE:EL0510] Nb instances: 34.

[NTE:EL0511] Nb leaf instances: 33.

[INF:UH0706] Creating UHDM Model...

[INF:UH0708] Writing UHDM DB: ./slpp_all/surelog.uhdm ...

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 70
[   NOTE] : 52

@alaindargelas
Copy link
Collaborator

Using the proces_design.sh surelog (Which has a very different file list from the original one from Dan) I get:

...

[NTE:EL0504] Multiple top level modules in design.

[NTE:EL0531] black-parrot/external/basejump_stl/bsg_misc/bsg_array_concentrate_static.v:8:14: Negative value in instance "work@bsg_array_concentrate_static"
             text:   (input    [dense_els_lp-1:0][width_p-1:0] i
             value: INT:-1.

[NTE:EL0531] black-parrot/external/HardFloat/source/HardFloat_primitives.v:63:17: Negative value in instance "work@addRecFN.addRecFNToRaw.lowMask_far_roundExtraMask"
             text:         input [(inWidth - 1):0] in,
             value: INT:-1.

[NTE:EL0508] Nb Top level modules: 146.

[NTE:EL0509] Max instance depth: 16.

[NTE:EL0510] Nb instances: 2003.

[NTE:EL0511] Nb leaf instances: 494.

[INF:UH0706] Creating UHDM Model...

[INF:UH0708] Writing UHDM DB: ./slpp_all/surelog.uhdm ...

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 389
[   NOTE] : 269

@alaindargelas
Copy link
Collaborator

I'm not sure why we have so many top level modules, that does not sound right. Those file lists are not representative of a "design" more like a library.

@dpetrisko
Copy link
Author

dpetrisko commented Sep 23, 2022

The extra top levels are included from BaseJump STL library files as "abstract modules" (*__abstract). Basically each module is instantiated in an if (0) block in its source file, in order to avoid elaborating with default parameters which may be invalid.

You can see the discussion here: https://github.com/bespoke-silicon-group/basejump_stl/blob/8ccd91a5cfb252e096a70640d3dd1bb9e0e1fa46/bsg_misc/bsg_defines.v#L12.

There's only 1 BlackParrot-specific toplevel

@dpetrisko
Copy link
Author

Ignoring the FILE_INDEX_MISMATCH, I don't see any other errors from Surelog/Yosys in the logs except for the ERROR: Failed to detect width for parameter \proc_param_lp!

@alaindargelas
Copy link
Collaborator

@dpetrisko that is correct. @mglb please help fix the issue in the plugin code.

@mglb
Copy link
Collaborator

mglb commented Sep 24, 2022

I'm working on it.
So far I've found out that the plugin passes nodes with plugin's custom internal type (AST_DOT) to yosys, which is unable to interpret them.
Now I need to determine why and where exactly this happens.

@alaindargelas
Copy link
Collaborator

The bogus error message FILE_INDEX_MISMATCH
is fixed with chipsalliance/Surelog#3242

@mglb
Copy link
Collaborator

mglb commented Oct 4, 2022

Turns out the problem is (most probably) caused by another issue. The design uses union as a struct member, which is not supported by the plugin (EDIT: in expressions with a dot).
We're working on support of unions in structs, which should fix the original problem.

EDIT: relevant issue: chipsalliance/yosys-f4pga-plugins#385

@dpetrisko
Copy link
Author

Thanks for the update! We fairly heavily rely on struct of unions as a construct, so if that's on the roadmap we'll wait rather than re-writing the RTL

@mglb
Copy link
Collaborator

mglb commented Oct 18, 2022

PR adding support for unions in structs: chipsalliance/yosys-f4pga-plugins#389
New enum-related issue to be fixed: chipsalliance/yosys-f4pga-plugins#390

I've also found the main (and hopefully final) problem. Turns out the reported symbol is most probably OK. It is just evaluated too early, and this early evaluation is caused by potentially unrelated code.
This is a bug in the plugin.

Bug details:

Consider the following example:

// --- test.sv: ---

module test(input clk_i, input reset_i);
 typedef enum bit[1:0] { test_e_0 = 0, test_e_1 = 1 } test_e;
 parameter int test_p_int_vec [1:0] = '{ 4, 8 };

 // localparam test_e test_lp_index = 1; // (A1) OK
 localparam test_e test_lp_index = test_e_1; // (A2) "ERROR: Failed to detect width for parameter \test_lp_val!" when used with B2.

 localparam test_lp_val = test_p_int_vec[test_lp_index];

 typedef struct packed {
   logic [test_lp_val-1:0]   test_s_member_0;
   logic [test_lp_val:0]     test_s_member_1;
 } test_typedef_s;

 test_helper test_helper_instance();
endmodule
// --- test_helper.sv ---
module test_helper;

 typedef struct packed {
   integer unsigned helper_s_member;
 }  helper_typedef_s;

 // localparam integer unsigned helper_lp = 0; // (B1) ALWAYS OK
 localparam helper_typedef_s helper_lp = '{ helper_s_member: 0 }; // (B2) causes error when used with A2

endmodule

Differences between assignment of integer iteral (A1) vs enum value (A2) to test_lp_index

  • Integer literal is a node of type constant -> nothing to simplify.
  • Enum value is a node of type ref_obj, which points to enum_const which contains constant -> needs simplification to become constant.

This is important below.

typedef struct (B2) vs int (B1) as a param type in helper module

Actions performed inside the plugin:

  • processing test module as non-instance
    • visiting various subnodes and just creating objects representing them. When instantiation of another module is visited, it needs to be processed as instance to create an object for it.
      • processing test_helper module as instance (test module instantiates it)
        • visiting all parameter nodes. If parameter's value node's type is not constant (B1 is constant, B2 is not) it is simplified:
          • for every typedef, parameter, and enum present in current top node:
            • store in a map link between object name and the object itself
            • when the object is a typedef, additionally call simplify (function provided by yosys) on it.
              • test_typedef_s is a typedef struct in test module. Value (test_lp_val) used in ranges of its members was not yet evaluated nor simplified (i.e. converted to constant node). This is why A2 causes problems here and A1 does not.

@mglb
Copy link
Collaborator

mglb commented Nov 7, 2022

FYI: support for unions in struct is merged: chipsalliance/yosys-f4pga-plugins#389

@mglb
Copy link
Collaborator

mglb commented Nov 16, 2022

The reported problem is technically fixed! chipsalliance/yosys-f4pga-plugins#399
However, there are still other issues that have to be fixed in order to make blackparrot work.
The next one: chipsalliance/yosys-f4pga-plugins#400

@dpetrisko
Copy link
Author

Awesome! Do we want to close this and make a new tracking issue? Or just treat this page as the "BlackParrot issue?" :)

@mglb mglb changed the title ERROR: Failed to detect width for parameter systemverilog-plugin: Blackparrot support (was: ERROR: Failed to detect width for parameter) Nov 17, 2022
@mglb
Copy link
Collaborator

mglb commented Nov 17, 2022

Lets keep this as a "summary" issue. I've changed the title to reflect this.

@dpetrisko
Copy link
Author

Hi, update from my end:
with
chipsalliance/yosys-f4pga-plugins#416
chipsalliance/yosys-f4pga-plugins#377

The parsing completes!

But then segfaults during elaboration

[NTE:CP0309] PATH/yosys-systemverilog/black-parrot/external/HardFloat/source/HardFloat_rawFN.v:91:48: Implicit port type (wire) for "out",
there are 1 more instances of this message.

[NTE:CP0309] PATH/yosys-systemverilog/black-parrot/external/HardFloat/source/HardFloat_rawFN.v:339:42: Implicit port type (wire) for "out",
there are 1 more instances of this message.

[INF:EL0526] Design Elaboration...

process_design.txt: line 253: 115027 Segmentation fault      yosys "${cmdline[@]}"

@alaindargelas
Copy link
Collaborator

@dpetrisko I pulled the latest from black-parrot master and the file lists attached to this case don't work anymore, can you update the file list?

[FTL:CM0008] Verilog File "/home/alain/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_sbuf_queue.v" does not exist.

@dpetrisko
Copy link
Author

Hi Alain,

Attached: flist.txt

Here are the tags for what I'm using (master):
black-parrot: 6ce6523d6b3ab91cdb355d53c419f1b9ee1e1e81
And the submodule tags, in case those aren't working
black-parrot/external/basejump_stl: 6a43980bc6c1deccb67f554b6f04df812e5f198a
black-parrot/external/HardFloat: f75f8f573230a4d2627d2c404599998d6d6ae475

@alaindargelas
Copy link
Collaborator

@dpetrisko I'm getting a syntax error.
I'll check if it is valid Verilog.

/home/alain/black-parrot/external/basejump_stl/bsg_misc/bsg_circular_ptr.v:60:5: Syntax error: extraneous input 'else' expecting {';', 'default', 'module', 'endmodule', 'extern', 'macromodule', 'interface', 'program', 'virtual', 'class', 'timeunit', 'timeprecision', 'checker', 'type', 'clocking', 'defparam', 'bind', 'const', 'function', 'static', 'constraint', 'if', 'automatic', 'localparam', 'parameter', 'specparam', 'import', 'genvar', 'typedef', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', '$', 'export', DOLLAR_UNIT, '(*', 'assert', 'property', 'assume', 'cover', 'not', 'or', 'and', 'sequence', 'covergroup', 'pulldown', 'pullup', 'cmos', 'rcmos', 'bufif0', 'bufif1', 'notif0', 'notif1', 'nmos', 'pmos', 'rnmos', 'rpmos', 'nand', 'nor', 'xor', 'xnor', 'buf', 'tranif0', 'tranif1', 'rtranif1', 'rtranif0', 'tran', 'rtran', 'generate', 'case', 'for', 'global', 'initial', 'assign', 'alias', 'always', 'always_comb', 'always_latch', 'always_ff', 'restrict', 'let', 'this', 'randomize', 'final', 'task', 'specify', 'sample', '=', 'nettype', Escaped_identifier, Simple_identifier, '`pragma', SURELOG_MACRO_NOT_DEFINED},
else
^-- /home/alain/slpp_all/lib/work/black-parrot/external/basejump_stl/bsg_misc/bsg_circular_ptr.v:60:5:.

@alaindargelas
Copy link
Collaborator

Fixed, -sverilog on the command line fixed it (Treat .v as systemverilog files).

@alaindargelas
Copy link
Collaborator

Elaboration works fine in Surelog:

....

[NTE:EL0508] Nb Top level modules: 146.

[NTE:EL0509] Max instance depth: 16.

[NTE:EL0510] Nb instances: 2003.

[NTE:EL0511] Nb leaf instances: 494.

[INF:UH0706] Creating UHDM Model...

[INF:UH0708] Writing UHDM DB: /home/alain/slpp_all/surelog.uhdm ...

[ FATAL] : 0
[ SYNTAX] : 0
[ ERROR] : 0
[WARNING] : 450
[ NOTE] : 270

@alaindargelas
Copy link
Collaborator

@dpetrisko can you send me the updated Yosys script you are using?

@dpetrisko
Copy link
Author

Hi Alain, I'm using the script that @mglb provided above:

process_design.txt

bash process_design.txt surelog
or
bash process_design.txt yosys

to run

@alaindargelas
Copy link
Collaborator

@dpetrisko how did you merge chipsalliance/yosys-f4pga-plugins#377
This PR is in conflict with the master

@dpetrisko
Copy link
Author

Resolved it (although I certainly could have messed up)

diff from e23ff6d:

diff.txt

@mglb
Copy link
Collaborator

mglb commented Dec 8, 2022

@dpetrisko I can confirm the segfault on my end. I did look at it briefly; it seems to be caused by invalid handling of parameters without default value which are used in port's range. This is the plugin issue.

@mglb
Copy link
Collaborator

mglb commented Dec 20, 2022

Issue for the segfault: chipsalliance/yosys-f4pga-plugins#424
I'll work on this more this week.

@dpetrisko
Copy link
Author

Thank you for the update! Let me know if there's anything I can help with the provided tests!

@mglb
Copy link
Collaborator

mglb commented Dec 24, 2022

Turns out chipsalliance/yosys-f4pga-plugins#424 is not an issue here.

The segfault comes from lack of support for streaming operators in the plugin: #968
This will be the next thing to work on.

@alaindargelas
Copy link
Collaborator

alaindargelas commented Jan 21, 2023

@dpetrisko with the latest source of black-parrot, I get the following error with the compilation script process_design.txt:
...
[FTL:CM0008] Verilog File "/home/alain/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_sbuf_queue.v" does not exist.

[ FATAL] : 1
[ SYNTAX] : 0
[ ERROR] : 0
[WARNING] : 0
[ NOTE] : 0

Can you check in that script in black-parrot and maintain it in sync with the source code?

@dpetrisko
Copy link
Author

Hi Alain, if you are pulling from master of BlackParrot, you'll need to update the filelist which changes as the code changes: https://github.com/black-parrot/black-parrot/blob/master/bp_top/syn/flist.vcs

For that specific file, I can tell you that the changeset is
-basejump_stl/bsg_cache/bsg_cache_sbuf_queue.v
+basejump_stl/bsg_cache/bsg_cache_sbuf.v
+basejump_stl/bsg_cache/bsg_cache_tbuf.v
+basejump_stl/bsg_cache/bsg_cache_buffer_queue.v

@alaindargelas
Copy link
Collaborator

Hi Dan, I'd rather use the official flist.vcs then. How do I get the values of $BASEJUMP_STL_DIR and other variables?
Is there another step above that set that up?

@dpetrisko
Copy link
Author

Sounds good, easier to keep in sync that way. We set those variables here: https://github.com/black-parrot/black-parrot/blob/master/Makefile.common#L1

export BP_COMMON_DIR    := $(TOP)/bp_common
export BP_FE_DIR        := $(TOP)/bp_fe
export BP_BE_DIR        := $(TOP)/bp_be
export BP_ME_DIR        := $(TOP)/bp_me
export BP_TOP_DIR       := $(TOP)/bp_top
export BP_EXTERNAL_DIR  := $(TOP)/external
export BASEJUMP_STL_DIR := $(BP_EXTERNAL_DIR)/basejump_stl
export HARDFLOAT_DIR    := $(BP_EXTERNAL_DIR)/HardFloat

@alaindargelas
Copy link
Collaborator

Actually, this flow with flist.vcs is for simulation.
What I need is a deterministic way (In sync with the source code) to get the file list for Synthesis (The Surelog + Yosys flow we are trying to fix here).

@dpetrisko
Copy link
Author

This is the synth flist. There are some extra files which are used for other parameterizations of BP, but everything in there should be synth

@alaindargelas
Copy link
Collaborator

ok, thanks

@alaindargelas
Copy link
Collaborator

Since I want to debug the Surelog step stand alone first, I create the following script:

source black-parrot.sl

export TOP=/home/alain/black-parrot
export BP_COMMON_DIR=$TOP/bp_common
export BP_FE_DIR=$TOP/bp_fe
export BP_BE_DIR=$TOP/bp_be
export BP_ME_DIR=$TOP/bp_me
export BP_TOP_DIR=$TOP/bp_top
export BP_EXTERNAL_DIR=$TOP/external
export BASEJUMP_STL_DIR=$BP_EXTERNAL_DIR/basejump_stl
export HARDFLOAT_DIR=$BP_EXTERNAL_DIR/HardFloat

surelog -f bp_top/syn/flist.vcs

There are a couple of problems with -f in Surelog with the comments, so I removed them manually. That used to work but somehow got broken. I'll fix that.
And one file (bsg_circular_ptr.v) needs to -sv in front of it in the flist.vcs to be treated as a SV file.

I'll start by debugging the following errors:

[NTE:EL0531] /home/alain/black-parrot/external/HardFloat/source/HardFloat_primitives.v:63:17: Negative value in instance "work@addRecFN.addRecFNToRaw.lowMask_far_roundExtraMask"
             text:         input [(inWidth - 1):0] in,
             value: INT:-1.

[NTE:EL0531] /home/alain/black-parrot/bp_fe/src/v/bp_fe_icache.sv:269:9: Negative value in instance "work@bp_multicore.cc.y[0].x[0].tile_node.tile.core.core_lite.core_minimal.fe.icache"
             text:   wire [ctag_vbits_lp-1:0] ctag_vbits = vaddr_tl_r[block_offset_width_lp+sindex_width_lp+:`BSG_MAX(ctag_vbits_lp,1)];
             value: INT:-1.

[NTE:EL0531] /home/alain/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache.sv:343:9: Negative value in instance "work@bp_multicore.cc.y[0].x[0].tile_node.tile.core.core_lite.core_minimal.be.calculator.pipe_mem.dcache"
             text:   wire [ctag_vbits_lp-1:0] ctag_vbits = vaddr_tl_r[block_offset_width_lp+sindex_width_lp+:`BSG_MAX(ctag_vbits_lp,1)];
             value: INT:-1.

[NTE:EL0531] /home/alain/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_stitch.v:18:15: Negative value in instance "work@bp_multicore.ic.rev_mesh"
             text:    (input    [y_max_p-1:0][x_max_p-1:0][nets_p-1:0][S:W][width_p-1:0] outs_i // for each node, each direction
             value: INT:-1.

[NTE:EL0531] /home/alain/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_stitch.v:19:15: Negative value in instance "work@bp_multicore.ic.rev_mesh"
             text:     , output [y_max_p-1:0][x_max_p-1:0][nets_p-1:0][S:W][width_p-1:0] ins_o
             value: INT:-1.

[NTE:EL0531] /home/alain/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_stitch.v:22:20: Negative value in instance "work@bp_multicore.ic.rev_mesh"
             text:     , input  [E:W][y_max_p-1:0][nets_p-1:0][width_p-1:0] hor_i
             value: INT:-1.

[NTE:EL0531] /home/alain/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_stitch.v:23:20: Negative value in instance "work@bp_multicore.ic.rev_mesh"
             text:     , output [E:W][y_max_p-1:0][nets_p-1:0][width_p-1:0] hor_o
             value: INT:-1.

[NTE:EL0531] /home/alain/black-parrot/bp_fe/src/v/bp_fe_icache.sv:269:9: Negative value in instance "work@bp_unicore.unicore_lite.core_minimal.fe.icache"
             text:   wire [ctag_vbits_lp-1:0] ctag_vbits = vaddr_tl_r[block_offset_width_lp+sindex_width_lp+:`BSG_MAX(ctag_vbits_lp,1)];
             value: INT:-1.

[NTE:EL0531] /home/alain/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache.sv:343:9: Negative value in instance "work@bp_unicore.unicore_lite.core_minimal.be.calculator.pipe_mem.dcache"
             text:   wire [ctag_vbits_lp-1:0] ctag_vbits = vaddr_tl_r[block_offset_width_lp+sindex_width_lp+:`BSG_MAX(ctag_vbits_lp,1)];
             value: INT:-1.

[NTE:EL0508] Nb Top level modules: 180.

[NTE:EL0509] Max instance depth: 24.

[NTE:EL0510] Nb instances: 5534.

[NTE:EL0511] Nb leaf instances: 924.

[INF:UH0706] Creating UHDM Model...

[INF:UH0708] Writing UHDM DB: /home/alain/black-parrot/slpp_all/surelog.uhdm ...

[INF:UH0709] Writing UHDM Html Coverage: /home/alain/black-parrot/slpp_all/checker/surelog.chk.html ...

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 566
[   NOTE] : 336

I'm also looking at the html report for UHDM code coverage to inspect what parts of the design we are not covering. I'll need your help to review some too later on.

@dpetrisko
Copy link
Author

Sure thing, let me know. At a glance, those look like they are caused by default parameters of modules which are not in the elab tree for the default build

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

3 participants