Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Rename from CλaSH to Clash #521

Merged
merged 1 commit into from Mar 6, 2019
Merged
Show file tree
Hide file tree
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Jump to
Jump to file
Failed to load files.
Diff view
Diff view
12 changes: 6 additions & 6 deletions clash-ghc/clash-ghc.cabal
Expand Up @@ -2,12 +2,12 @@ Name: clash-ghc
Version: 0.99
Synopsis: CAES Language for Synchronous Hardware
Description:
CλaSH (pronounced ‘clash’) is a functional hardware description language that
borrows both its syntax and semantics from the functional programming language
Haskell. The CλaSH compiler transforms these high-level descriptions to
low-level synthesizable VHDL, Verilog, or SystemVerilog.
Clash is a functional hardware description language that borrows both its
syntax and semantics from the functional programming language Haskell. The
Clash compiler transforms these high-level descriptions to low-level
synthesizable VHDL, Verilog, or SystemVerilog.
.
Features of CλaSH:
Features of Clash:
.
* Strongly typed, but with a very high degree of type inference, enabling both
safe and fast prototyping using concise descriptions.
Expand All @@ -26,7 +26,7 @@ Description:
.
This package provides:
.
* CλaSH Compiler binary using GHC/Haskell as a frontend
* Clash Compiler binary using GHC/Haskell as a frontend
.
.
Prelude library: <http://hackage.haskell.org/package/clash-prelude>
Expand Down
10 changes: 5 additions & 5 deletions clash-lib/clash-lib.cabal
Expand Up @@ -2,12 +2,12 @@ Name: clash-lib
Version: 0.99
Synopsis: CAES Language for Synchronous Hardware - As a Library
Description:
CλaSH (pronounced ‘clash’) is a functional hardware description language that
borrows both its syntax and semantics from the functional programming language
Haskell. The CλaSH compiler transforms these high-level descriptions to
low-level synthesizable VHDL, Verilog, or SystemVerilog.
Clash is a functional hardware description language that borrows both its
syntax and semantics from the functional programming language Haskell. The
Clash compiler transforms these high-level descriptions to low-level
synthesizable VHDL, Verilog, or SystemVerilog.
.
Features of CλaSH:
Features of Clash:
.
* Strongly typed, but with a very high degree of type inference, enabling both
safe and fast prototyping using concise descriptions.
Expand Down
10 changes: 5 additions & 5 deletions clash-prelude/clash-prelude.cabal
Expand Up @@ -2,12 +2,12 @@ Name: clash-prelude
Version: 0.99
Synopsis: CAES Language for Synchronous Hardware - Prelude library
Description:
CλaSH (pronounced ‘clash’) is a functional hardware description language that
borrows both its syntax and semantics from the functional programming language
Haskell. The CλaSH compiler transforms these high-level descriptions to
low-level synthesizable VHDL, Verilog, or SystemVerilog.
Clash is a functional hardware description language that borrows both its
syntax and semantics from the functional programming language Haskell. The
Clash compiler transforms these high-level descriptions to low-level
synthesizable VHDL, Verilog, or SystemVerilog.
.
Features of CλaSH:
Features of Clash:
.
* Strongly typed, but with a very high degree of type inference, enabling both
safe and fast prototyping using concise descriptions.
Expand Down
10 changes: 5 additions & 5 deletions clash-prelude/src/Clash/Annotations/TopEntity.hs
Expand Up @@ -5,7 +5,7 @@ License : BSD2 (see the file LICENSE)
Maintainer : Christiaan Baaij <christiaan.baaij@gmail.com>

'TopEntity' annotations allow us to control hierarchy and naming aspects of the
CλaSH compiler. We have the 'Synthesize' and 'TestBench' annotation.
Clash compiler. We have the 'Synthesize' and 'TestBench' annotation.

=== 'Synthesize' annotation

Expand All @@ -32,7 +32,7 @@ restrictions:

Also take the following into account when using 'Synthesize' annotations.

* The CλaSH compiler is based on the GHC Haskell compiler, and the GHC
* The Clash compiler is based on the GHC Haskell compiler, and the GHC
machinery does not understand 'Synthesize' annotations and it might
subsequently decide to inline those functions. You should therefor also
add a @{\-\# NOINLINE f \#-\}@ pragma to the functions which you give
Expand All @@ -41,7 +41,7 @@ Also take the following into account when using 'Synthesize' annotations.
on constants.

Finally, the root module, the module which you pass as an argument to the
CλaSH compiler must either have:
Clash compiler must either have:

* A function with a 'Synthesize' annotation.
* A function called /topEntity/.
Expand Down Expand Up @@ -93,7 +93,7 @@ blinkerT (leds,mode,cntr) key1R = ((leds',mode',cntr'),leds)
| otherwise = leds
@

The CλaSH compiler would normally generate the following
The Clash compiler would normally generate the following
@blinker_topentity.vhdl@ file:

@
Expand Down Expand Up @@ -139,7 +139,7 @@ However, if we add the following 'Synthesize' annotation in the file:
}) \#-\}
@

The CλaSH compiler will generate the following @blinker.vhdl@ file instead:
The Clash compiler will generate the following @blinker.vhdl@ file instead:

@
-- Automatically generated VHDL-93
Expand Down
2 changes: 1 addition & 1 deletion clash-prelude/src/Clash/Explicit/BlockRam.hs
Expand Up @@ -12,7 +12,7 @@ BlockRAM primitives
We will show a rather elaborate example on how you can, and why you might want
to use 'blockRam's. We will build a \"small\" CPU+Memory+Program ROM where we
will slowly evolve to using blockRams. Note that the code is /not/ meant as a
de-facto standard on how to do CPU design in CλaSH.
de-facto standard on how to do CPU design in Clash.

We start with the definition of the Instructions, Register names and machine
codes:
Expand Down
2 changes: 1 addition & 1 deletion clash-prelude/src/Clash/Explicit/Signal.hs
Expand Up @@ -5,7 +5,7 @@ Copyright : (C) 2013-2016, University of Twente,
License : BSD2 (see the file LICENSE)
Maintainer : Christiaan Baaij <christiaan.baaij@gmail.com>

CλaSH has synchronous 'Signal's in the form of:
Clash has synchronous 'Signal's in the form of:

@
'Signal' (domain :: 'Domain') a
Expand Down
4 changes: 2 additions & 2 deletions clash-prelude/src/Clash/Explicit/Testbench.hs
Expand Up @@ -109,7 +109,7 @@ assertBitVector clk _rst msg checked expected returned =


-- | To be used as one of the functions to create the \"magical\" 'testInput'
-- value, which the CλaSH compiler looks for to create the stimulus generator
-- value, which the Clash compiler looks for to create the stimulus generator
-- for the generated VHDL testbench.
--
-- Example:
Expand Down Expand Up @@ -146,7 +146,7 @@ stimuliGenerator clk rst samples =
{-# INLINABLE stimuliGenerator #-}

-- | To be used as one of the functions to generate the \"magical\" 'expectedOutput'
-- function, which the CλaSH compiler looks for to create the signal verifier
-- function, which the Clash compiler looks for to create the signal verifier
-- for the generated VHDL testbench.
--
-- Example:
Expand Down
12 changes: 6 additions & 6 deletions clash-prelude/src/Clash/Prelude.hs
Expand Up @@ -4,12 +4,12 @@
License : BSD2 (see the file LICENSE)
Maintainer : Christiaan Baaij <christiaan.baaij@gmail.com>

CλaSH (pronounced ‘clash’) is a functional hardware description language that
borrows both its syntax and semantics from the functional programming language
Haskell. The merits of using a functional language to describe hardware comes
from the fact that combinational circuits can be directly modeled as
mathematical functions and that functional languages lend themselves very well
at describing and (de-)composing mathematical functions.
Clash is a functional hardware description language that borrows both its
syntax and semantics from the functional programming language Haskell. The
merits of using a functional language to describe hardware comes from the fact
that combinational circuits can be directly modeled as mathematical functions
and that functional languages lend themselves very well at describing and
(de-)composing mathematical functions.

This package provides:

Expand Down
2 changes: 1 addition & 1 deletion clash-prelude/src/Clash/Prelude/BlockRam.hs
Expand Up @@ -12,7 +12,7 @@ BlockRAM primitives
We will show a rather elaborate example on how you can, and why you might want
to use 'blockRam's. We will build a \"small\" CPU+Memory+Program ROM where we
will slowly evolve to using blockRams. Note that the code is /not/ meant as a
de-facto standard on how to do CPU design in CλaSH.
de-facto standard on how to do CPU design in Clash.

We start with the definition of the Instructions, Register names and machine
codes:
Expand Down
12 changes: 6 additions & 6 deletions clash-prelude/src/Clash/Prelude/Safe.hs
Expand Up @@ -6,12 +6,12 @@

__This is the <https://downloads.haskell.org/~ghc/latest/docs/html/users_guide/safe_haskell.html Safe> API only of "Clash.Prelude"__

CλaSH (pronounced ‘clash’) is a functional hardware description language that
borrows both its syntax and semantics from the functional programming language
Haskell. The merits of using a functional language to describe hardware comes
from the fact that combinational circuits can be directly modeled as
mathematical functions and that functional languages lend themselves very well
at describing and (de-)composing mathematical functions.
Clash is a functional hardware description language that borrows both its
syntax and semantics from the functional programming language Haskell. The
merits of using a functional language to describe hardware comes from the fact
that combinational circuits can be directly modeled as mathematical functions
and that functional languages lend themselves very well at describing and
(de-)composing mathematical functions.

This package provides:

Expand Down
4 changes: 2 additions & 2 deletions clash-prelude/src/Clash/Prelude/Testbench.hs
Expand Up @@ -55,7 +55,7 @@ assert = hideClockReset E.assert
{-# INLINE assert #-}

-- | To be used as one of the functions to create the \"magical\" 'testInput'
-- value, which the CλaSH compiler looks for to create the stimulus generator
-- value, which the Clash compiler looks for to create the stimulus generator
-- for the generated VHDL testbench.
--
-- Example:
Expand All @@ -77,7 +77,7 @@ stimuliGenerator = hideClockReset E.stimuliGenerator
{-# INLINE stimuliGenerator #-}

-- | To be used as one of the functions to generate the \"magical\" 'expectedOutput'
-- function, which the CλaSH compiler looks for to create the signal verifier
-- function, which the Clash compiler looks for to create the signal verifier
-- for the generated VHDL testbench.
--
-- Example:
Expand Down
2 changes: 1 addition & 1 deletion clash-prelude/src/Clash/Signal.hs
Expand Up @@ -5,7 +5,7 @@ Copyright : (C) 2013-2016, University of Twente,
License : BSD2 (see the file LICENSE)
Maintainer : Christiaan Baaij <christiaan.baaij@gmail.com>

CλaSH has synchronous 'Signal's in the form of:
Clash has synchronous 'Signal's in the form of:

@
'Signal' (domain :: 'Domain') a
Expand Down
2 changes: 1 addition & 1 deletion clash-prelude/src/Clash/Signal/Internal.hs
Expand Up @@ -125,7 +125,7 @@ data Domain = Dom { domainName :: Symbol, clkPeriod :: Nat }
deriving (Typeable)

infixr 5 :-
{- | CλaSH has synchronous 'Signal's in the form of:
{- | Clash has synchronous 'Signal's in the form of:

@
'Signal' (domain :: 'Domain') a
Expand Down