Skip to content

Commit

Permalink
Merge 17b9a6b into 1fef8a4
Browse files Browse the repository at this point in the history
  • Loading branch information
weslowrie committed Aug 22, 2014
2 parents 1fef8a4 + 17b9a6b commit f2e8f1d
Show file tree
Hide file tree
Showing 2 changed files with 37 additions and 28 deletions.
50 changes: 25 additions & 25 deletions src/pyclaw/classic/flux3.f90
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@

! ==================================================================
subroutine flux3(ixyz,maxm,num_eqn,num_waves,num_ghost,mx, &
q1d,dtdx1d,dtdy,dtdz,aux1,aux2,aux3,num_aux, &
q1d,dtdx1d,dtdydc,dtdzdc,aux1,aux2,aux3,num_aux, &
method,mthlim,qadd,fadd,gadd,hadd,cfl1d, &
wave,s,amdq,apdq,cqxx, &
bmamdq,bmapdq,bpamdq,bpapdq, &
Expand Down Expand Up @@ -416,45 +416,45 @@ subroutine flux3(ixyz,maxm,num_eqn,num_waves,num_ghost,mx, &


gadd(m,2,0,i) = gadd(m,2,0,i) &
+ (1.d0/6.d0)*dtdx1d(i)*dtdz &
+ (1.d0/6.d0)*dtdx1d(i)*dtdzdc &
* (bpcpapdq(m,i) - bpcmapdq(m,i))
gadd(m,1,0,i) = gadd(m,1,0,i) &
+ (1.d0/6.d0)*dtdx1d(i)*dtdz &
+ (1.d0/6.d0)*dtdx1d(i)*dtdzdc &
* (bmcpapdq(m,i) - bmcmapdq(m,i))


gadd(m,2,1,i) = gadd(m,2,1,i) &
- (1.d0/6.d0)*dtdx1d(i)*dtdz &
- (1.d0/6.d0)*dtdx1d(i)*dtdzdc &
* bpcpapdq(m,i)
gadd(m,1,1,i) = gadd(m,1,1,i) &
- (1.d0/6.d0)*dtdx1d(i)*dtdz &
- (1.d0/6.d0)*dtdx1d(i)*dtdzdc &
* bmcpapdq(m,i)
gadd(m,2,-1,i) = gadd(m,2,-1,i) &
+ (1.d0/6.d0)*dtdx1d(i)*dtdz &
+ (1.d0/6.d0)*dtdx1d(i)*dtdzdc &
* bpcmapdq(m,i)
gadd(m,1,-1,i) = gadd(m,1,-1,i) &
+ (1.d0/6.d0)*dtdx1d(i)*dtdz &
+ (1.d0/6.d0)*dtdx1d(i)*dtdzdc &
* bmcmapdq(m,i)

gadd(m,2,0,i-1) = gadd(m,2,0,i-1) &
+ (1.d0/6.d0)*dtdx1d(i-1)*dtdz &
+ (1.d0/6.d0)*dtdx1d(i-1)*dtdzdc &
* (bpcpamdq(m,i) - bpcmamdq(m,i))
gadd(m,1,0,i-1) = gadd(m,1,0,i-1) &
+ (1.d0/6.d0)*dtdx1d(i-1)*dtdz &
+ (1.d0/6.d0)*dtdx1d(i-1)*dtdzdc &
* (bmcpamdq(m,i) - bmcmamdq(m,i))


gadd(m,2,1,i-1) = gadd(m,2,1,i-1) &
- (1.d0/6.d0)*dtdx1d(i-1)*dtdz &
- (1.d0/6.d0)*dtdx1d(i-1)*dtdzdc &
* bpcpamdq(m,i)
gadd(m,1,1,i-1) = gadd(m,1,1,i-1) &
- (1.d0/6.d0)*dtdx1d(i-1)*dtdz &
- (1.d0/6.d0)*dtdx1d(i-1)*dtdzdc &
* bmcpamdq(m,i)
gadd(m,2,-1,i-1) = gadd(m,2,-1,i-1) &
+ (1.d0/6.d0)*dtdx1d(i-1)*dtdz &
+ (1.d0/6.d0)*dtdx1d(i-1)*dtdzdc &
* bpcmamdq(m,i)
gadd(m,1,-1,i-1) = gadd(m,1,-1,i-1) &
+ (1.d0/6.d0)*dtdx1d(i-1)*dtdz &
+ (1.d0/6.d0)*dtdx1d(i-1)*dtdzdc &
* bmcmamdq(m,i)

endif
Expand Down Expand Up @@ -540,45 +540,45 @@ subroutine flux3(ixyz,maxm,num_eqn,num_waves,num_ghost,mx, &
if( m4 > 0 )then

hadd(m,2,0,i) = hadd(m,2,0,i) &
+ (1.d0/6.d0)*dtdx1d(i)*dtdy &
+ (1.d0/6.d0)*dtdx1d(i)*dtdydc &
* (bpcpapdq(m,i) - bpcmapdq(m,i))
hadd(m,1,0,i) = hadd(m,1,0,i) &
+ (1.d0/6.d0)*dtdx1d(i)*dtdy &
+ (1.d0/6.d0)*dtdx1d(i)*dtdydc &
* (bmcpapdq(m,i) - bmcmapdq(m,i))


hadd(m,2,1,i) = hadd(m,2,1,i) &
- (1.d0/6.d0)*dtdx1d(i)*dtdy &
- (1.d0/6.d0)*dtdx1d(i)*dtdydc &
* bpcpapdq(m,i)
hadd(m,1,1,i) = hadd(m,1,1,i) &
- (1.d0/6.d0)*dtdx1d(i)*dtdy &
- (1.d0/6.d0)*dtdx1d(i)*dtdydc &
* bmcpapdq(m,i)
hadd(m,2,-1,i) = hadd(m,2,-1,i) &
+ (1.d0/6.d0)*dtdx1d(i)*dtdy &
+ (1.d0/6.d0)*dtdx1d(i)*dtdydc &
* bpcmapdq(m,i)
hadd(m,1,-1,i) = hadd(m,1,-1,i) &
+ (1.d0/6.d0)*dtdx1d(i)*dtdy &
+ (1.d0/6.d0)*dtdx1d(i)*dtdydc &
* bmcmapdq(m,i)

hadd(m,2,0,i-1) = hadd(m,2,0,i-1) &
+ (1.d0/6.d0)*dtdx1d(i-1)*dtdy &
+ (1.d0/6.d0)*dtdx1d(i-1)*dtdydc &
* (bpcpamdq(m,i) - bpcmamdq(m,i))
hadd(m,1,0,i-1) = hadd(m,1,0,i-1) &
+ (1.d0/6.d0)*dtdx1d(i-1)*dtdy &
+ (1.d0/6.d0)*dtdx1d(i-1)*dtdydc &
* (bmcpamdq(m,i) - bmcmamdq(m,i))


hadd(m,2,1,i-1) = hadd(m,2,1,i-1) &
- (1.d0/6.d0)*dtdx1d(i-1)*dtdy &
- (1.d0/6.d0)*dtdx1d(i-1)*dtdydc &
* bpcpamdq(m,i)
hadd(m,1,1,i-1) = hadd(m,1,1,i-1) &
- (1.d0/6.d0)*dtdx1d(i-1)*dtdy &
- (1.d0/6.d0)*dtdx1d(i-1)*dtdydc &
* bmcpamdq(m,i)
hadd(m,2,-1,i-1) = hadd(m,2,-1,i-1) &
+ (1.d0/6.d0)*dtdx1d(i-1)*dtdy &
+ (1.d0/6.d0)*dtdx1d(i-1)*dtdydc &
* bpcmamdq(m,i)
hadd(m,1,-1,i-1) = hadd(m,1,-1,i-1) &
+ (1.d0/6.d0)*dtdx1d(i-1)*dtdy &
+ (1.d0/6.d0)*dtdx1d(i-1)*dtdydc &
* bmcmamdq(m,i)

endif
Expand Down
15 changes: 12 additions & 3 deletions src/pyclaw/classic/step3.f90
Original file line number Diff line number Diff line change
Expand Up @@ -107,6 +107,9 @@ subroutine step3(maxm,num_eqn,num_waves,num_ghost,mx,my, &
dtdx = dt/dx
dtdy = dt/dy
dtdz = dt/dz
dtdxdc = dtdx
dtdydc = dtdy
dtdzdc = dtdz

if (index_capa == 0) then
! # no capa array:
Expand All @@ -133,6 +136,8 @@ subroutine step3(maxm,num_eqn,num_waves,num_ghost,mx,my, &
if (index_capa > 0) then
do 23 i = 1-num_ghost, mx+num_ghost
dtdx1d(i) = dtdx / aux(index_capa,i,j,k)
dtdydc = dtdy / aux(index_capa,i,j,k)
dtdzdc = dtdz / aux(index_capa,i,j,k)
23 END DO
endif

Expand Down Expand Up @@ -162,7 +167,7 @@ subroutine step3(maxm,num_eqn,num_waves,num_ghost,mx,my, &
! # this slice:

call flux3(1,maxm,num_eqn,num_waves,num_ghost,mx, &
q1d,dtdx1d,dtdy,dtdz,aux1,aux2,aux3,num_aux, &
q1d,dtdx1d,dtdydc,dtdzdc,aux1,aux2,aux3,num_aux, &
method,mthlim,qadd,fadd,gadd,hadd,cfl1d, &
work(i0wave),work(i0s),work(i0amdq), &
work(i0apdq),work(i0cqxx), &
Expand Down Expand Up @@ -292,7 +297,9 @@ subroutine step3(maxm,num_eqn,num_waves,num_ghost,mx,my, &

if (index_capa > 0) then
do 71 j = 1-num_ghost, my+num_ghost
dtdxdc = dtdx / aux(index_capa,i,j,k)
dtdy1d(j) = dtdy / aux(index_capa,i,j,k)
dtdzdc = dtdz / aux(index_capa,i,j,k)
71 END DO
endif

Expand All @@ -317,7 +324,7 @@ subroutine step3(maxm,num_eqn,num_waves,num_ghost,mx,my, &
! # slice:

call flux3(2,maxm,num_eqn,num_waves,num_ghost,my, &
q1d,dtdy1d,dtdz,dtdx,aux1,aux2,aux3,num_aux, &
q1d,dtdy1d,dtdzdc,dtdxdc,aux1,aux2,aux3,num_aux, &
method,mthlim,qadd,fadd,gadd,hadd,cfl1d, &
work(i0wave),work(i0s),work(i0amdq), &
work(i0apdq),work(i0cqxx), &
Expand Down Expand Up @@ -448,6 +455,8 @@ subroutine step3(maxm,num_eqn,num_waves,num_ghost,mx,my, &

if (index_capa > 0) then
do 130 k = 1-num_ghost, mz+num_ghost
dtdxdc = dtdx / aux(index_capa,i,j,k)
dtdydc = dtdy / aux(index_capa,i,j,k)
dtdz1d(k) = dtdz / aux(index_capa,i,j,k)
130 END DO
endif
Expand Down Expand Up @@ -477,7 +486,7 @@ subroutine step3(maxm,num_eqn,num_waves,num_ghost,mx,my, &
! # slice:

call flux3(3,maxm,num_eqn,num_waves,num_ghost,mz, &
q1d,dtdz1d,dtdx,dtdy,aux1,aux2,aux3,num_aux, &
q1d,dtdz1d,dtdxdc,dtdydc,aux1,aux2,aux3,num_aux, &
method,mthlim,qadd,fadd,gadd,hadd,cfl1d, &
work(i0wave),work(i0s),work(i0amdq), &
work(i0apdq),work(i0cqxx), &
Expand Down

0 comments on commit f2e8f1d

Please sign in to comment.