Skip to content

Commit

Permalink
updated doc
Browse files Browse the repository at this point in the history
  • Loading branch information
herbberg committed Aug 29, 2023
1 parent 162a1c8 commit 151d4e5
Show file tree
Hide file tree
Showing 5 changed files with 37 additions and 36 deletions.
Binary file not shown.
61 changes: 30 additions & 31 deletions doc/mp7_ugt_firmware_specification/src/latex/content/appendices.tex
Original file line number Diff line number Diff line change
Expand Up @@ -50,7 +50,7 @@ \subsection{Configuration of GTHs}\label{sec:app:app_a}
\subsubsection{ZDC 5G scheme}\label{sec:app:zdc_5g_scheme}

ZDC data are provided on a 5 GHz link.
Figure~\ref{fig:app:zdc_5G_scheme} shows ZDC 5G link connection to \ugt system.\\
Figure~\ref{fig:app:zdc_5G_scheme} shows ZDC 5G link connection to \ugt system. (Currently only MP7 in slot 1 has a connection with ZDC.)\\

\begin{figure}[htb]
\centering
Expand Down Expand Up @@ -141,10 +141,10 @@ \subsubsection{GTH input connections}\label{sec:app:gth_i_conn}
RX2/5 & rx0/4 & & X0Y29 & x & 0x82 & nc & 65 & -\\\hline
RX2/8 & rx0/7 & & X0Y30 & x & 0x84 & nc & 66 & -\\\hline
RX2/7 & rx0/6 & & X0Y31 & x & 0x86 & nc & 67 & -\\\hline
RX2/10 & rx0/9 & 218 & X0Y32 & x & 0x88 & nc & 68 & -\\\hline
RX2/9 & rx0/8 & & X0Y33 & x & 0x8A & nc & 69 & -\\\hline
RX2/11 & rx0/10 & & X0Y34 & x & 0x8C & nc & 70 & -\\\hline
RX2/12 & rx0/11 & & X0Y35 & 5G & 0x8E & nc & 71 & zdc\\\hline
RX2/10 & rx0/9 & 218 & X0Y32 & 5G & 0x88 & nc & 68 & -\\\hline
RX2/9 & rx0/8 & & X0Y33 & 5G & 0x8A & nc & 69 & -\\\hline
RX2/11 & rx0/10 & & X0Y34 & 5G & 0x8C & nc & 70 & -\\\hline
RX2/12 & rx0/11 & & X0Y35 & 5G & 0x8E & nc & 71 & zdc\\\hline
\end{longtable}

\clearpage
Expand Down Expand Up @@ -286,8 +286,8 @@ \subsubsection{Data on GTHs}\label{sec:app:gth_conf_table}
SCOUTING & 28 & 111 & X1Y07 & & x \\\hline
SCOUTING & 29 & 111 & X1Y06 & & x \\\hline
SCOUTING & 30 & 111 & X1Y05 & & x \\\hline
SCOUTING & 31 & 111 & X1Y04 & & x \\\hline
ZDC & 71 & 218 & X0Y35 & & x \\\hline
SCOUTING & 31 & 111 & X1Y04 & & x \\\hline\hline
ZDC & 71 & 218 & X0Y35 & x & \\\hline
\end{longtable}

\clearpage
Expand Down Expand Up @@ -343,31 +343,30 @@ \subsection{Configuration of optical input links}\label{sec:app:app_b}
\scalebox{0.8}{
\centering
% \begin{tabular}{|c|c|c|c|c|c|p{1.5cm}|}\hline
\begin{tabular}{|c|c|c|c|c|c|c|}\hline
& \multicolumn{6}{ c| }{link} \\\hline
& 10 & 11 & 12 & 13 & 14 & 15 \\\hline
ch. -> & 0x14 & 0x16 & 0x18 & 0x1a & 0x1c & 0x1e \\\hline\hline
\begin{tabular}{|c|c|c|c|c|c|c|c|}\hline
& \multicolumn{7}{ c| }{link} \\\hline
& 10 & 11 & 12 & 13 & 14 & 15 & 71 \\\hline
ch. -> & 0x14 & 0x16 & 0x18 & 0x1a & 0x1c & 0x1e & 0x8e \\\hline\hline
% frame & & & & & &\makebox[1.5cm][c]{ } \\\hline\hline
frame & & & & & & \\\hline
0 & ET, & free & ExtCond & ExtCond & ExtCond & ExtCond\\
& ETTEM, & [31:0] & [31:0] & [95:64] & [159:128] & [223:192]\\
& MBT0HFP & & & & &\\\hline
1 & HT, & free & ExtCond & ExtCond & ExtCond & ExtCond\\
& TOWERCOUNT, & [63:32] & [63:32] & [127:96] & [191:160] & [255:224]\\
& MBT0HFM & & & & &\\\hline
2 & ET$_{miss}$, & free & free & free & free & free\\
& ASYMET,& [95:64] & & & &\\
& MBT1HFP & & & & &\\\hline
3 & HT$_{miss}$, & free & free & free & free & free\\
& ASYMHT,& [127:96] & & & &\\
& MBT1HFM & & & & &\\\hline
4 & ET$_{miss}^{HF}$ & free & free & free & free & free\\
& ASYMETHF, & [159:128] & & & &\\
& CENT[3:0] & & & & &\\\hline

5 & HT$_{miss}^{HF}$ & free & free & free & free & free\\
& ASYMHTHF, & [191:160] & & & &\\
& CENT[7:4] & & & & &\\\hline
frame & & & & & & & \\\hline
0 & ET, & free & ExtCond & ExtCond & ExtCond & ExtCond & ZDC \\
& ETTEM, & [31:0] & [31:0] & [95:64] & [159:128] & [223:192] & frame 0\\
& MBT0HFP & & & & & & 0x7c/0x3c\\\hline
1 & HT, & free & ExtCond & ExtCond & ExtCond & ExtCond & ZDC-\\
& TOWERCOUNT, & [63:32] & [63:32] & [127:96] & [191:160] & [255:224] & 10 bits\\
& MBT0HFM & & & & & & \\\hline
2 & ET$_{miss}$, & free & free & free & free & free & ZDC+\\
& ASYMET,& [95:64] & & & & & 10 bits\\
& MBT1HFP & & & & & & \\\hline
3 & HT$_{miss}$, & free & free & free & free & free & ZDC \\
& ASYMHT,& [127:96] & & & & & frame 3\\
& MBT1HFM & & & & & & 0x0000\\\hline
4 & ET$_{miss}^{HF}$ & free & free & free & free & free & ZDC \\
& ASYMETHF, & [159:128] & & & & & counter\\
& CENT[3:0] & & & & & & 12 bits\\\hline
5 & HT$_{miss}^{HF}$ & free & free & free & free & free & ZDC \\
& ASYMHTHF, & [191:160] & & & & & frame 5\\
& CENT[7:4] & & & & & & 0x0000\\\hline
\end{tabular}
}
\label{table:app:sum_opt_links2}
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -106,6 +106,7 @@ \subsubsection{Configuration of optical connections} \label{sec:framework:sec_co
\multicolumn{1}{|c|}{13} & external-conditions [64..95] & external-conditions [96..127] & reserved & reserved & reserved & reserved \\\hline
\multicolumn{1}{|c|}{14} & external-conditions [128..159] & external-conditions [160..191] & reserved & reserved & reserved & reserved \\\hline
\multicolumn{1}{|c|}{15} & external-conditions [192..223] & external-conditions [224..255] & reserved & reserved & reserved & reserved \\\hline
\multicolumn{1}{|c|}{71} & 0x7c/0x3c & ZDC- & ZDC+ & 0x0000 & counter & 0x0000 \\\hline
\end{tabular}
\label{tab:framework:tab_configuration_optical_conn}
\end{table}
Expand Down Expand Up @@ -155,6 +156,7 @@ \subsubsection{Lane Mapping Process} \label{sec:framework:lmp}
13 & external-conditions [64..127] \\\hline
14 & external-conditions [128..191] \\\hline
15 & external-conditions [192..255] \\\hline
71 & zdc- / zdc+ \\\hline
\end{tabular}
\label{tab:framework:current_lane_mapping}
\end{table}
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -7,6 +7,7 @@ \section*{Revision History}
\hline
\hline
\endhead
2.35 & Updated "Appendices" (\ref{sec:app:app}) for ZDC link. & 2023/08/29\\
2.34 & Added description of readout record to bibliography, updated chapter "Readout process" (\ref{sec:rop:rop}) and chapter "Configuration of optical input links" (\ref{sec:app:app_b}). & 2023/07/04\\
2.33 & Updated "Appendices" (\ref{sec:app:app}). & 2023/04/03\\
2.32 & Added figure "\ugt crate" (\ref{fig:fw:mgt_crate}). & 2023/03/15\\
Expand Down
Original file line number Diff line number Diff line change
@@ -1,6 +1,5 @@
\newcommand{\versiongt}{v1.22.3 }
\newcommand{\versionframe}{v1.4.0 }
\newcommand{\versiongtl}{v1.18.1 }
\newcommand{\versiongt}{v1.23.0 }
\newcommand{\versionframe}{v1.4.1 }
\newcommand{\versiongtl}{v1.19.0 }
\newcommand{\versionfdl}{v1.4.1 }
\newcommand{\gitbranch}{https://github.com/cms-l1-globaltrigger/mp7_ugt_legacy/blob/master}

\newcommand{\gitbranch}{https://github.com/cms-l1-globaltrigger/mp7_ugt_legacy/blob/dev_v1.23.0}

0 comments on commit 151d4e5

Please sign in to comment.