Skip to content

Commit

Permalink
updated version
Browse files Browse the repository at this point in the history
  • Loading branch information
herbberg committed Apr 4, 2023
1 parent e6554fe commit d57c490
Show file tree
Hide file tree
Showing 2 changed files with 12 additions and 25 deletions.
28 changes: 8 additions & 20 deletions CHANGELOG.md
Original file line number Diff line number Diff line change
Expand Up @@ -4,36 +4,22 @@ All notable changes to this project will be documented in this file.
The format is based on [Keep a Changelog](http://keepachangelog.com/)
and this project adheres to [Semantic Versioning](http://semver.org/).

## [v1.22.1] - 2023-03-24
### Comment

- mp7_ugt firmware release v1.22.1
- all 72 links
- scouting outputs on links 28-31

### Changed
- source files:
- ../payload/frame/output_mux.vhd
- ../packages/gt_mp7_core_pkg.vhd
- ../packages/top_decl.vhd
- script files:
- scripts/mp7_patch.py

## [v1.22.0] - 2023-03-14
## [v1.22.0] - 2023-03-24
### Comment

- mp7_ugt firmware release v1.22.0
- development for "MUS2" (email: "New 2Loose HMT configuration", 3.12.2022)
- implemented cut for muon index bits
- implemented "anomaly detection trigger (ADT)"
- changes for 10G ZDC on link 11

### Added
- source files:
- ../payload/gtl/anomaly_detection
- all 72 links
- scouting outputs on links 28-31

### Changed
- source files:
- ../payload/frame/output_mux.vhd
- ../packages/gt_mp7_core_pkg.vhd
- ../packages/top_decl.vhd
- ../packages/gtl_pkg.vhd
- ../packages/gt_core_pkg.vhd
- ../payload/gtl/bx_pipeline.vhd
Expand All @@ -43,6 +29,8 @@ and this project adheres to [Semantic Versioning](http://semver.org/).
- ../payload/gtl/comb_conditions.vhd
- ../payload/gtl/correlation_conditions.vhd
- ../payload/gtl/zdc_condition.vhd
- script files:
- scripts/mp7_patch.py

## [v1.21.7] - 2022-11-29
### Comment
Expand Down
9 changes: 4 additions & 5 deletions firmware/hdl/packages/gt_mp7_core_pkg.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -3,16 +3,15 @@

-- actual versions:
-- use "FRAME_VERSION" as mp7_ugt release fw version (used for tag name).
-- mp7_ugt (=FRAME_VERSION): v1.22.1
-- mp7_ugt (=FRAME_VERSION): v1.22.0

-- use "GT_VERSION" as mp7_ugt release fw version (used for tag name).
-- gt: v1.2.1
-- gt: v1.22.0
-- frame: v1.4.0 (see frame.vhd)
-- gtl: v1.18.0 (see gtl_module_tpl.vhd)
-- fdl: v1.4.1 (see fdl_module.vhd)

-- HB 2023-03-10: v1.22.1 - Used all 72 links.
-- HB 2023-03-07: v1.22.0 - Used Tx links 28-31 for scouting (frame/output_mux.vhd).
-- HB 2023-03-10: v1.22.0 - Used Tx links 28-31 for scouting (frame/output_mux.vhd). Used all 72 links.
-- HB 2022-11-23: v1.21.6 - Updated doc/scales_inputs_2_ugt (added definition of muon shower bits).
-- HB 2022-09-26: v1.21.4 - Used "demux" in formatter for algo outputs to enable "orbit header" for scouting in top_decl.vhd (therefore MP7 FW v3.2.2. or newer needed).
-- HB 2022-09-26: v1.21.3 - Added script run_latex_w_versions.py (extract versions from gt_mp7_core_pkg.vhd, creates versions.tex and run latex ['make']).
Expand Down Expand Up @@ -90,7 +89,7 @@ package gt_mp7_core_pkg is
-- GT firmware version
constant GT_MAJOR_VERSION : integer range 0 to 255 := 1;
constant GT_MINOR_VERSION : integer range 0 to 255 := 22;
constant GT_REV_VERSION : integer range 0 to 255 := 1;
constant GT_REV_VERSION : integer range 0 to 255 := 0;
constant GT_VERSION : std_logic_vector(31 downto 0) := X"00" &
std_logic_vector(to_unsigned(GT_MAJOR_VERSION, 8)) &
std_logic_vector(to_unsigned(GT_MINOR_VERSION, 8)) &
Expand Down

0 comments on commit d57c490

Please sign in to comment.