Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

VCS core dump #51

Closed
GordonMcGregor opened this issue Jul 9, 2013 · 5 comments
Closed

VCS core dump #51

GordonMcGregor opened this issue Jul 9, 2013 · 5 comments

Comments

@GordonMcGregor
Copy link
Contributor

GordonMcGregor commented Jul 9, 2013

Trying in a different environment (Python 2.7.1 that appears to be statically linked only - no libpython2.7.so just libpython.2.7.a

and a recent version of VCS ( Compiler version G-2012.09-SP1-3_Full64; Runtime version G-2012.09-SP1-3_Full64; Jul 9 14:08 2013 )

Any thoughts on the cause of the Interpreter not initialized error. Seen this before at all?

Compiler version G-2012.09-SP1-3_Full64; Runtime version G-2012.09-SP1-3_Full64;  Jul  9 14:08 2013
Fatal Python error: Interpreter not initialized (version mismatch?)

An unexpected termination has occurred in simv

During a VPI callback function for callback reason="cbStartOfSimulation"
Command line: simv +acc+1

--- Stack trace follows:
Dumping VCS Annotated Stack:
#0  0x00000031dfe99db5 in waitpid () from /lib64/libc.so.6
#1  0x00000031dfe3c761 in do_system () from /lib64/libc.so.6
#2  0x00002b01b4dbea34 in SNPSle_10ee25eff68cd8461c9146fa1d0b35e87067f3c8015b313e639d2928478c79b3f673f99203bcf8be646006121000822323a7337474f31850 () from pcie_tl_io_write_seq/amd64/lib/liberrorinf.so
#3  0x00002b01b4dc002d in SNPSle_10ee25eff68cd8461c9146fa1d0b35e87067f3c8015b313efba706aab251478fa49e66610e453774633a6c152e7ef778f2202cda681f3d4e () from /tools/vcs_linux64/amd64/lib/liberrorinf.so
#4  0x00002b01b4db8e96 in SNPSle_d35ca1ff70d465c24c71e1f0ad6ba632 () from /tools/vcs_linux64/amd64/lib/liberrorinf.so
#5  0x00002b01b6b0b3a9 in SNPSle_64133461705005bb725549e2e6fa1b3f () from /tools/vcs_linux64/amd64/lib/libvcsnew.so
#6  0x00002b01b69fad57 in SNPSle_82244d58c54c18c70d63edc9becab634 () from /tools/vcs_linux64/amd64/lib/libvcsnew.so
#8  0x00000031dfe30285 in raise () from /lib64/libc.so.6
#9  0x00000031dfe31d30 in abort () from /lib64/libc.so.6
#10 0x00002b01bf7c5379 in Py_FatalError (msg=<optimized out>) at Python/pythonrun.c:1670
#11 0x00002b01bf7c08c4 in Py_InitModule4_64 (name=0x2b01bf8042e2 "simulator", methods=0x2b01bfa3f060, doc=0x0, passthrough=0x0, module_api_version=1013) at Python/modsupport.c:38
#12 0x00002b01bf70762a in initsimulator () at simulatormodule.c:653
#13 0x00002b01b94dec39 in _PyImport_LoadDynamicModule (name=0x7fff87f9f0f7 "simulator", pathname=0x7fff87f9e030 "/home/gmcgregor/src/cocotb/build/libs/x86_64/simulator.so", fp=<optimized out>) at ./Python/importdl.c:53
#14 0x00002b01b94dc26d in load_module (name=0x7fff87f9f0f7 "simulator", fp=0x6, pathname=0x101010101010101 <Address 0x101010101010101 out of bounds>, type=8, loader=<optimized out>) at Python/import.c:1834
#15 0x00002b01b94dc69e in import_submodule (mod=0x2b01b9776b20, subname=0x7fff87f9f0f7 "simulator", fullname=0x7fff87f9f0f7 "simulator") at Python/import.c:2596
#16 0x00002b01b94dc944 in load_next (mod=0x1eca92b8, altmod=0x2b01b9776b20, p_name=<optimized out>, buf=0x7fff87f9f0f0 "cocotb.simulator", p_buflen=0x7fff87f9f0e8) at Python/import.c:2420
#17 0x00002b01b94dcdbd in import_module_level (name=<optimized out>, globals=<optimized out>, locals=<optimized out>, fromlist=0x2b01b9776b20, level=<optimized out>) at Python/import.c:2137
#19 0x00002b01b94b896d in builtin___import__ (self=<optimized out>, args=<optimized out>, kwds=<optimized out>) at Python/bltinmodule.c:49
#20 0x00002b01b943350d in PyObject_Call (func=0x2b01bde98dd0, arg=0x1f63d680, kw=0x0) at Objects/abstract.c:2529
#21 0x00002b01b94be3a1 in PyEval_CallObjectWithKeywords (func=0x2b01bde98dd0, arg=0x1f63d680, kw=0x0) at Python/ceval.c:3881
#22 0x00002b01b94c21a2 in PyEval_EvalFrameEx (f=0x1ecfd390, throwflag=<optimized out>) at Python/ceval.c:2332
#23 0x00002b01b94c6705 in PyEval_EvalCodeEx (co=0x1f671ab0, globals=<optimized out>, locals=<optimized out>, args=0x0, argcount=0, kws=0x0, kwcount=0, defs=0x0, defcount=0, closure=0x0) at Python/ceval.c:3252
#24 0x00002b01b94c68a2 in PyEval_EvalCode (co=0x1458, globals=0x1458, locals=0x6) at Python/ceval.c:666
#25 0x00002b01b94da98b in PyImport_ExecCodeModuleEx (name=0x7fff87fa27f0 "cocotb.handle", co=0x1f671ab0, pathname=<optimized out>) at Python/import.c:681
#26 0x00002b01b94daec5 in load_source_module (name=0x7fff87fa27f0 "cocotb.handle", pathname=0x7fff87fa1730 "/home/gmcgregor/src/cocotb/cocotb/handle.py", fp=<optimized out>) at Python/import.c:1021
#27 0x00002b01b94dc24d in load_module (name=0x7fff87fa27f0 "cocotb.handle", fp=0x6, pathname=0x101010101010101 <Address 0x101010101010101 out of bounds>, type=8, loader=<optimized out>) at Python/import.c:1825
#28 0x00002b01b94dc69e in import_submodule (mod=0x1eca92b8, subname=0x7fff87fa27f7 "handle", fullname=0x7fff87fa27f0 "cocotb.handle") at Python/import.c:2596
#29 0x00002b01b94dc902 in load_next (mod=0x1eca92b8, altmod=0x1eca92b8, p_name=<optimized out>, buf=0x7fff87fa27f0 "cocotb.handle", p_buflen=0x7fff87fa27e8) at Python/import.c:2416
#30 0x00002b01b94dcdef in import_module_level (name=0x0, globals=<optimized out>, locals=<optimized out>, fromlist=0x2b01b9776b20, level=<optimized out>) at Python/import.c:2145
#32 0x00002b01b94b896d in builtin___import__ (self=<optimized out>, args=<optimized out>, kwds=<optimized out>) at Python/bltinmodule.c:49
#33 0x00002b01b943350d in PyObject_Call (func=0x2b01bde98dd0, arg=0x2b01bf2aeaa0, kw=0x0) at Objects/abstract.c:2529
#34 0x00002b01b94be3a1 in PyEval_CallObjectWithKeywords (func=0x2b01bde98dd0, arg=0x2b01bf2aeaa0, kw=0x0) at Python/ceval.c:3881
#35 0x00002b01b94c21a2 in PyEval_EvalFrameEx (f=0x1ecfe1b0, throwflag=<optimized out>) at Python/ceval.c:2332
#36 0x00002b01b94c6705 in PyEval_EvalCodeEx (co=0x1ec959b0, globals=<optimized out>, locals=<optimized out>, args=0x0, argcount=0, kws=0x0, kwcount=0, defs=0x0, defcount=0, closure=0x0) at Python/ceval.c:3252
#37 0x00002b01b94c68a2 in PyEval_EvalCode (co=0x1458, globals=0x1458, locals=0x6) at Python/ceval.c:666
#38 0x00002b01b94da98b in PyImport_ExecCodeModuleEx (name=0x7fff87fa6f70 "cocotb", co=0x1ec959b0, pathname=<optimized out>) at Python/import.c:681
#39 0x00002b01b94daec5 in load_source_module (name=0x7fff87fa6f70 "cocotb", pathname=0x7fff87fa4e20 "/home/gmcgregor/src/cocotb/cocotb/__init__.py", fp=<optimized out>) at Python/import.c:1021
#40 0x00002b01b94dc24d in load_module (name=0x7fff87fa6f70 "cocotb", fp=0x6, pathname=0x101010101010101 <Address 0x101010101010101 out of bounds>, type=8, loader=<optimized out>) at Python/import.c:1825
#41 0x00002b01b94dc51c in load_package (name=0x7fff87fa6f70 "cocotb", pathname=<optimized out>) at Python/import.c:1077
#42 0x00002b01b94dc27a in load_module (name=0x7fff87fa6f70 "cocotb", fp=<optimized out>, pathname=0x101010101010101 <Address 0x101010101010101 out of bounds>, type=8, loader=<optimized out>) at Python/import.c:1839
#43 0x00002b01b94dc69e in import_submodule (mod=0x2b01b9776b20, subname=0x7fff87fa6f70 "cocotb", fullname=0x7fff87fa6f70 "cocotb") at Python/import.c:2596
#44 0x00002b01b94dc902 in load_next (mod=0x2b01b9776b20, altmod=0x2b01b9776b20, p_name=<optimized out>, buf=0x7fff87fa6f70 "cocotb", p_buflen=0x7fff87fa6f68) at Python/import.c:2416
#45 0x00002b01b94dcdbd in import_module_level (name=<optimized out>, globals=<optimized out>, locals=<optimized out>, fromlist=0x2b01bdea6bd8, level=<optimized out>) at Python/import.c:2137
#47 0x00002b01b94b896d in builtin___import__ (self=<optimized out>, args=<optimized out>, kwds=<optimized out>) at Python/bltinmodule.c:49
#48 0x00002b01b943350d in PyObject_Call (func=0x2b01bde98dd0, arg=0x2b01bf2a74d0, kw=0x0) at Objects/abstract.c:2529
#49 0x00002b01b9433640 in call_function_tail (callable=0x1458, args=0x2b01bf2a74d0) at Objects/abstract.c:2561
#51 0x00002b01b94dd7d7 in PyImport_Import (module_name=0x2b01bf115180) at Python/import.c:2774
#52 0x00002b01b942aa95 in embed_sim_init () at gpi_embed.c:99
#53 0x00002b01b8fe7ccf in handle_sim_init (gpi_cb_data=0x0) at gpi_vpi.c:716
#54 0x00002b01b8fe6d9b in handle_vpi_callback (cb_data=0x7fff87fa8250) at gpi_vpi.c:325
@stuarthodgson
Copy link
Collaborator

It's not something that we have seen yet. Realise that is not much help.
Will have a think.

@GordonMcGregor
Copy link
Contributor Author

I worked around for now. (By switching to DPI launch, rather than VPI)

Sent from Mailbox for iPhone

On Tue, Jul 9, 2013 at 4:03 PM, stuarthodgson notifications@github.com
wrote:

It's not something that we have seen yet. Realise that is not much help.

Will have a think.

Reply to this email directly or view it on GitHub:
#51 (comment)

@stuarthodgson
Copy link
Collaborator

Gordon, I'm having trouble getting a stack trace from G2012.09 with the same options that give a trace on F-2011.12-SP1. Can you paste the command you used to get the trace for this issue?

@GordonMcGregor
Copy link
Contributor Author

I think I ended up tracing this down to a mixup in python path and linked library - a peculiarity of the installed version here and how it is wrapped up, so the error actually makes sense:

Fatal Python error: Interpreter not initialized (version mismatch?)

@GordonMcGregor
Copy link
Contributor Author

For future reference: the vcs command was

PYTHONPATH=/home/gmcgrego/co/cocotb/build/libs/x86_64:/home/gmcgrego/co/cocotb:/home/gmcgrego/co/cocotb/examples/demo:/libs/pylibs LD_LIBRARY_PATH=/home/gmcgrego/co/cocotb/build/libs/x86_64 MODULE=demo TESTCASE=
vcs -R +acc+1 +vpi -P pli.tab -sverilog -full64 -debug -load libgpi.so counter.v

chiggs added a commit to chiggs/cocotb that referenced this issue Sep 4, 2017
chiggs added a commit to chiggs/cocotb that referenced this issue Sep 4, 2017
You don't need to see these in normal operation. cocotb#51
chiggs added a commit to chiggs/cocotb that referenced this issue Sep 4, 2017
ktbarrett pushed a commit to ktbarrett/cocotb that referenced this issue Mar 6, 2020
ktbarrett pushed a commit to ktbarrett/cocotb that referenced this issue Mar 6, 2020
You don't need to see these in normal operation. cocotb#51
ktbarrett pushed a commit to ktbarrett/cocotb that referenced this issue Mar 6, 2020
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants