Skip to content

Commit

Permalink
Merge pull request #26 from cokelaer/master
Browse files Browse the repository at this point in the history
replace appdirs with platformdirs
  • Loading branch information
cokelaer committed Feb 11, 2024
2 parents 27822c1 + 8430aab commit a7da3fe
Show file tree
Hide file tree
Showing 55 changed files with 320 additions and 1,064 deletions.
1 change: 1 addition & 0 deletions README.rst
Original file line number Diff line number Diff line change
Expand Up @@ -43,4 +43,5 @@ Version Description
========= ==========================================================================
0.12.2 * For developers: move to pyprojet. add precomit
* replace pkg_resources (deprecated) with importlib
* replace appdirs with more generic platformdirs
========= ==========================================================================
1 change: 0 additions & 1 deletion doc/source/index.rst
Original file line number Diff line number Diff line change
Expand Up @@ -73,4 +73,3 @@ easydev may now exist in standard modules of Python.
installation
quickstart
references

8 changes: 2 additions & 6 deletions doc/source/quickstart.rst
Original file line number Diff line number Diff line change
Expand Up @@ -59,7 +59,7 @@ many more functionalities.
~easydev.tools.AttrDict
~easydev.tools.DevTools

For :class:`~easydev.tools.DevTools` and :class:`~easydev.tools.AttrDict`,
For :class:`~easydev.tools.DevTools` and :class:`~easydev.tools.AttrDict`,
please see :ref:`devtools` and :ref:`attrdict` sections, respetively.

Check validity of a values
Expand Down Expand Up @@ -170,7 +170,7 @@ Timer populate a list variable with time spent in **with** statements
with Timer(imes):
time.sleep(0.2)
sum(times)



Profiling
Expand Down Expand Up @@ -329,7 +329,3 @@ Each job must be a function with arguments and optional arguments but must retur
The :meth:`add_job` takes as input a function name followed by a lost of arguments. You can then introspect individual results::

t.results




40 changes: 19 additions & 21 deletions doc/source/references.rst
Original file line number Diff line number Diff line change
Expand Up @@ -12,30 +12,30 @@ browse
.. automodule:: easydev.browser
:members:
:inherited-members:
:synopsis:
:synopsis:

chunks
==========

.. automodule:: easydev.chunks
:members:
:inherited-members:
:synopsis:
:synopsis:

codecs
==========

.. automodule:: easydev.codecs
:members:
:inherited-members:
:synopsis:
:synopsis:

config
==========

.. automodule:: easydev.config_tools
:members:
:synopsis:
:synopsis:

console
===========
Expand All @@ -44,7 +44,7 @@ console
:members:
:undoc-members:
:inherited-members:
:synopsis:
:synopsis:

decorators
===============
Expand All @@ -53,7 +53,7 @@ decorators
:members:
:undoc-members:
:inherited-members:
:synopsis:
:synopsis:

dependencies
===============
Expand All @@ -62,7 +62,7 @@ dependencies
:members:
:undoc-members:
:inherited-members:
:synopsis:
:synopsis:


doc
Expand All @@ -72,7 +72,7 @@ doc
:members:
:undoc-members:
:inherited-members:
:synopsis:
:synopsis:

easytest
==========
Expand All @@ -81,7 +81,7 @@ easytest
:members:
:undoc-members:
:inherited-members:
:synopsis:
:synopsis:



Expand All @@ -92,7 +92,7 @@ logging_tools
:members:
:undoc-members:
:inherited-members:
:synopsis:
:synopsis:

Options
===================================================================
Expand All @@ -101,7 +101,7 @@ Options
:members:
:undoc-members:
:inherited-members:
:synopsis:
:synopsis:



Expand All @@ -112,7 +112,7 @@ paths
:members:
:undoc-members:
:inherited-members:
:synopsis:
:synopsis:

progressbar
==============
Expand All @@ -121,7 +121,7 @@ progressbar
:members:
:undoc-members:
:inherited-members:
:synopsis:
:synopsis:

sphinx themes
===================================================================
Expand All @@ -130,7 +130,7 @@ sphinx themes
:members:
:undoc-members:
:inherited-members:
:synopsis:
:synopsis:

timer
================
Expand All @@ -145,25 +145,23 @@ tools
.. automodule:: easydev.tools
:members:
:undoc-members:
:synopsis:
:synopsis:




url
=====
.. automodule:: easydev.url
:members:
:undoc-members:
:inherited-members:
:synopsis:
:synopsis:



multicore
==================
.. automodule:: easydev.multicore
:members:
:undoc-members:
:inherited-members:
:synopsis:


:synopsis:
8 changes: 2 additions & 6 deletions easydev/__init__.py
Original file line number Diff line number Diff line change
Expand Up @@ -3,13 +3,11 @@
#
# This file is part of the easydev software
#
# Copyright (c) 2011-2014
# Copyright (c) 2011-2024
#
# File author(s): Thomas Cokelaer <cokelaer@gmail.com>
#
# Distributed under the GPLv3 License.
# See accompanying file LICENSE.txt or copy at
# http://www.gnu.org/licenses/gpl-3.0.html
# Distributed under the BSD3 License.
#
# Website: https://github.com/cokelaer/easydev
# Documentation: http://packages.python.org/easydev
Expand Down Expand Up @@ -66,8 +64,6 @@ def get_package_version(package_name):
from .profiler import do_profile
from .progressbar import Progress, TextProgressBar, progress_bar
from .sphinx_themes import *

# from . import timer
from .timer import Timer
from .tools import *
from .url import *

0 comments on commit a7da3fe

Please sign in to comment.