Skip to content

Actions: diffblue/hw-cbmc

Build and Test HW-CBMC

Actions

Loading...
Loading

Show workflow options

Create status badge

Loading
1,985 workflow runs
1,985 workflow runs
Event

Filter by event

Loading
Status

Filter by status

Loading
Branch
Actor

Filter by actor

Loading
introduce output_filet
Build and Test HW-CBMC #1985: Pull request #588 opened by kroening
July 4, 2024 17:01 1m 5s output_filet
July 4, 2024 17:01 1m 5s
ebmc: bump version number to 5.0
Build and Test HW-CBMC #1984: Pull request #587 opened by kroening
July 1, 2024 09:49 2m 28s bump-version-5-0
July 1, 2024 09:49 2m 28s
Verilog: generate property description prior to expression synthesis
Build and Test HW-CBMC #1983: Pull request #585 synchronize by kroening
June 30, 2024 12:32 1m 59s cond_for_comment
June 30, 2024 12:32 1m 59s
liveness-to-safety for IC3 and BDDs
Build and Test HW-CBMC #1982: Pull request #498 synchronize by kroening
June 30, 2024 11:41 1m 56s ic3-liveness-to-safety
June 30, 2024 11:41 1m 56s
liveness-to-safety for IC3 and BDDs
Build and Test HW-CBMC #1981: Pull request #498 synchronize by kroening
June 30, 2024 11:36 1m 48s ic3-liveness-to-safety
June 30, 2024 11:36 1m 48s
AIG engine: fix for new symbols for fresh inputs
Build and Test HW-CBMC #1980: Pull request #586 opened by kroening
June 30, 2024 11:33 1m 47s netlist-trace2
June 30, 2024 11:33 1m 47s
liveness-to-safety for IC3 and BDDs
Build and Test HW-CBMC #1979: Pull request #498 synchronize by kroening
June 30, 2024 10:57 1m 54s ic3-liveness-to-safety
June 30, 2024 10:57 1m 54s
Verilog: generate property description prior to expression synthesis
Build and Test HW-CBMC #1978: Pull request #585 synchronize by kroening
June 30, 2024 10:40 1m 7s cond_for_comment
June 30, 2024 10:40 1m 7s
Verilog: generate property description prior to expression synthesis
Build and Test HW-CBMC #1977: Pull request #585 opened by kroening
June 30, 2024 10:09 1m 34s cond_for_comment
June 30, 2024 10:09 1m 34s
Verilog: fix for index on packed arrays that are lsb first
Build and Test HW-CBMC #1976: Pull request #582 synchronize by kroening
June 30, 2024 09:43 1m 43s bit-extract5
June 30, 2024 09:43 1m 43s
Verilog: fix for index on packed arrays that are lsb first
Build and Test HW-CBMC #1975: Pull request #582 synchronize by kroening
June 30, 2024 08:02 1m 42s bit-extract5
June 30, 2024 08:02 1m 42s
Verilog: fix for index on packed arrays that are lsb first
Build and Test HW-CBMC #1974: Pull request #582 synchronize by kroening
June 30, 2024 07:44 13m 50s bit-extract5
June 30, 2024 07:44 13m 50s
Verilog: little_endian -> big_endian
Build and Test HW-CBMC #1973: Pull request #584 synchronize by kroening
June 30, 2024 07:41 14m 5s big_endian
June 30, 2024 07:41 14m 5s
Merge pull request #578 from diffblue/assignment_pattern
Build and Test HW-CBMC #1972: Commit f2f3a40 pushed by kroening
June 30, 2024 07:40 13m 59s main
June 30, 2024 07:40 13m 59s
Merge pull request #579 from diffblue/named_property1
Build and Test HW-CBMC #1971: Commit e746526 pushed by kroening
June 30, 2024 07:39 14m 27s main
June 30, 2024 07:39 14m 27s
Verilog: fix for index on packed arrays that are lsb first
Build and Test HW-CBMC #1970: Pull request #582 synchronize by kroening
June 30, 2024 07:38 13m 59s bit-extract5
June 30, 2024 07:38 13m 59s
Verilog: fix for index on packed arrays that are lsb first
Build and Test HW-CBMC #1969: Pull request #582 synchronize by kroening
June 30, 2024 07:27 14m 20s bit-extract5
June 30, 2024 07:27 14m 20s
Verilog: little_endian -> big_endian
Build and Test HW-CBMC #1968: Pull request #584 synchronize by kroening
June 28, 2024 22:20 11m 19s big_endian
June 28, 2024 22:20 11m 19s
Verilog: little_endian -> big_endian
Build and Test HW-CBMC #1967: Pull request #584 synchronize by kroening
June 28, 2024 22:15 11m 19s big_endian
June 28, 2024 22:15 11m 19s
Verilog: little_endian -> big_endian
Build and Test HW-CBMC #1966: Pull request #584 synchronize by kroening
June 28, 2024 21:14 14m 36s big_endian
June 28, 2024 21:14 14m 36s
Merge pull request #581 from diffblue/array_functions1
Build and Test HW-CBMC #1965: Commit 21146f9 pushed by kroening
June 28, 2024 20:46 2m 7s main
June 28, 2024 20:46 2m 7s
Merge pull request #583 from diffblue/clang-format-15
Build and Test HW-CBMC #1964: Commit dbed35e pushed by tautschnig
June 28, 2024 18:15 1m 42s main
June 28, 2024 18:15 1m 42s
CI: bump clang-format to version 15
Build and Test HW-CBMC #1963: Pull request #583 synchronize by kroening
June 28, 2024 01:29 1m 45s clang-format-15
June 28, 2024 01:29 1m 45s
CI: bump clang-format to version 15
Build and Test HW-CBMC #1962: Pull request #583 opened by kroening
June 27, 2024 06:53 1m 53s clang-format-15
June 27, 2024 06:53 1m 53s
Verilog: fix for index on packed arrays that are lsb first
Build and Test HW-CBMC #1961: Pull request #582 synchronize by kroening
June 27, 2024 06:46 2m 26s bit-extract5
June 27, 2024 06:46 2m 26s