Skip to content

Basic counter example in verilog for Tang Nano 20k using Yosys, Nextpnr and openFPGALoader.

License

Notifications You must be signed in to change notification settings

douwedevries/tangnano20k

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

10 Commits
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 

Repository files navigation

Tang Nano 20k

About

Basic counter example in verilog for Tang Nano 20k using Yosys, Nextpnr and openFPGALoader.

Topics

Resources

License

Stars

Watchers

Forks